电子线路eda课程设计

2024-06-18

电子线路eda课程设计(通用10篇)

篇1:电子线路eda课程设计

简易电子琴的设计和实现

----结题报告

学号:110342241

姓名:章译文

一.设计要求

1.能发出1234567基本音。

2.能自动播放《偶像万万岁》和《梁祝》。3.能在数码管上显示弹奏时的音名。

二.设计原理分析

1.音阶的获得

系统要求要求用7个按键控制发声,我们用7个键盘来控制产生低音(或中音)段的7个音阶频率。所有的音名频率都是通过一个基准频率经过分频得到的。但部分分频过大的音频直接输出给扬声器,频率信号脉冲的占空比会非常窄,不能驱动扬声器工作。于是,我们设计了一个“带有预置数的计数器”来简化整个设计过程。将原频率分频成期望值的2倍频率信号。再通过二分频,驱动扬声器工作。

简易电子琴控制流程图

2.MUSICAL_NOTE内部电路

MUSICAL_NOTE内部电路

使用MUSICAL_NOTE电路,实现初值可变的计数器,并对音阶实现二分频,使电子琴实现正常发音。

按键播放部分电路

3.自动播放功能的实现

自动播放部分电路

Hebing.mif文件(偶像万万岁&梁祝)

歌曲长度为352位,利用三个74261设计0~352的计数器,自动寻址,将音频导入lpm_rom,与MUSICAL_NOTE相互作用,实现自动播放。

按键除颤电路原理图

作为机械开关的键盘,在按键操作时,机械触点的弹性及电压跳动等原因,再触点闭合或者开启的瞬间会出现电压的抖动,如果不进行处理就会造成误操作。按键去抖动的关键在于提取稳定的低电平状态,滤去前沿后沿的抖动毛刺。4.在数码管上显示弹奏时的音名

显示部分电路图

Display内部电路

电路中的74161是16进制的计数器,它的低三位接到3—8译码器模块74138的地址输入端,这样就可以实现74138的8个输出端轮流输出低电平,恰好用来控制“位码”DIG7~DIG0。LPM_MUX是QuartusⅡ中的宏模块,用来实现多位的“多选一”,它的数据位数可以以及数据的数量可以任意设定。

电路中使用了与非门,实现发音与现实同时实现,在此要注意的是,因为使用了与非门,静态字符现实数码管管脚的设定值应该与原值相反。

三.设计原理图

简易电子琴原理图

根据以上分析,将三个模块组合,便得到了简易电子琴的整体设计。在整体设计的过程中,要注意三个模块之间的关系,使其能够相互作用,正常发音和显示。

四.实验总结 在这三天的实验过程中,通过对于实验目的的分析,有目标的查找资料,研读教材,在分清楚模块的基础上,写下了实验计划,规划好时间,确定每天要做的事。在实验的第一天,实现了按键发音,同时,也基本弄清楚了自动播放的原理,有了设计思路,第二天上午,完成了自动循环播放的功能,下午在同学的帮助下,确定了数码管显示的基本思路,通过对于以前知识的复习和查找,成功实现了数码管的显示功能。

在实验的过程中,也遇到很多的困难。在自动播放的时候,由于没有修改hebing.mif的进制,音乐总不能正常播放。还有就是在数码管显示的时候,一开始没有弄清与非门的作用,显示的数字总是反着的。后来经过冷静的分析,在老师和同学的帮助下,成功完成了电子琴的设计,实现了所有的功能。当然,设计也还有很多可以完善和改进的地方,在以后的学习过程中,我会更加用心,多做,多思考。

这次课程设计,我受益匪浅,这种认真研读,查找资料,动手操作的学习方式,应该贯彻到每一门课程的学习,也应该贯彻到我们的生活中。一直保持这样的方式,不管做什么事,都会取得很好的结果。

篇2:电子线路eda课程设计

实验报告

院系:信息科学与工程学院

姓名:

班级:

学号:

日期:

[实验名称] 八音电子琴

[实验要求] 1.能发出1.2.3.4.5.6.7.i八个音

2.用按键作为键盘

3.C调至B调对应频率如下表

音调 C(高音)B A G F E D C

频率(Hz)261.63x2 493.88 440.00 392.00 349.23 329.63 293.66 261.63 [实验电路设计] 1.命题分析

根据命题的要求,要使扬声器发音,需要在其输入信号端连接一个对应频率的方波信号.实验使用的信号源可以提供从几Hz到几兆Hz不等的信号频率,自然可以想到本实验命题的关键是一个具有相应分频比的分频器.考虑到硬件(按钮)在实际工作过程中会因元件的接触产生一些不可避免的抖动脉冲电平,会对实验造成影响,因此需要在按键接入线路中安装防抖动电路.2.设计过程

1>分频器

为了取得合适的电路复杂度和可接受的误差范围,分频器的时钟信号选取为器件所提供的JPCK—1(3MHz音频信号).然后通过计算,用时钟信号频率除以各发音频率,得到的分频比如下表:

分频比(16进

制)

5DEE 6384 6F84 7D62 8ADE 951C A760 BBDE

频率(Hz)高C:261.63x2 B:493.88 A:440.00 G:392.00 F:349.23 E:329.63 D:293.66 C:261.63 利用四片十六进制计数器74LS161就可以连接成适用的分频器.分频器连接完成后会产生一个预定频率的周期脉冲.但是实验要求的方波其占空比应该为1:1,因此在输出脉冲端加装一个T触发器,每次脉冲到达触发器的时候输出便会跳变电平,这就达到了驱动扬声器的条件.但是在应用了T触发器后输出方波的周期比预定的扩大了一倍,也就是说频率减至原来的二分之一.此时应重新选择时钟信号,令其为原来2倍即可.根据实验指导书,最终确定选择的时钟信号为6MHz.2>防抖动电路

利用D触发器的电压跟随特性可以用一个频率较低的时钟信号驱动,达到防止按键抖动的目的.电路较简单,见图3.图1.时钟分频电路(downway)

图2.CP为375K时上图的B4输出波形

由于设计的原因,本电路只能支持单音节输入.当同时键入两个以上的音阶时,分频比较小的(比较高的音阶)优先发声.图3.按键输入防抖动电路

3>电路组合

组合后的电路模块如图4.共有11个输入端和1个输出端.其中,CP_6M为整个电路的主频,使用电路板上的6MHz信号输出端;CP_1US为防抖动电路中触发器所使用的时钟,要求频率不高,选择电路板提供的CLK3-3(12Hz);KEYCa-B是电子琴的输入按键接口,依次是从低音到高音.Speaker是电路的输出端,接入扬声器,为其产生相应音阶的频率.实际电路如图4.连接完成后,对该电路进行仿真测试,如图5,令其CP_6M为6MHz,CP_1US为一个较低频率脉冲,设臵KEYB(音节B)为有效电平,在speaker上得到的输出频率为493,与实际音节的频率相同.图4.八音电子琴

图5.电子琴完成品的仿真波形

T触发器2分频电路

[硬件测试] [实习心得] 第一感觉,数字电子技术EDA实习很有意思.我们可以有充分的时间去思考怎么做出一个东西,这个东西的用处也许不大甚至几乎没有,但重要的是思考的过程:从它的用途总结出它的特性,从它的特性构思出它的原理,从原理到构建模型,再到模型的实现,利用已有的知识,可用的元件,最终组合出一个具有高度逻辑性的组合电路,这和我们小时候玩搭积木差不多.把积木一块块的搭成一座城堡,中间缺少任何一层甚至任何一块,城堡都可能会倒塌.同理,在我们构建命题所给的元器件时任何一个逻辑错误都可能是致命的,导致最后无法出现正确结果或者干脆不能用.因此,实习,有意思的同时还不能大意.这是一个锻炼逻辑思维和思维严谨性的极好的机会,我和我的同学们在这次工作中受益非浅.大家都积极思思考,查找资料,集思广益来解决现有的问题。在这个过程中我帮助了别人也得到了别人的帮助。

篇3:电子线路eda课程设计

1 EDA技术的含义及特征

EDA技术即电子设计自动化的英文简写, 其发展趋势极其迅猛, 应用范围也不断地在扩展。该项技术以规模巨大的可编程逻辑器件 (PLD) 作为进行电子设计的载体, 硬件描述语言 (HDL) 作为系统逻辑描述的一种主要表达方式, 通过它来完成对系统逻辑的描述, 再依托具有强大功能的计算机, 通过运用与EDA技术相应的工具软件, 完成电子系统的自动化设计。这种技术的应用使设计人员得以高效快速地完成设计任务, 使设计所用周期时间得以缩短, 减少了设计所需的投入成本。

20世纪70年代由于计算机及集成电路的急剧发展, 使电子技术受到剧烈的冲击, 其更新换代的周期不断缩减, 而专用的集成电路却不断提升其设计难度, 致使两者之间的矛盾逐渐扩大, 这就使得电子技术要不断地更新, 从而满足电子产品生产的需要, 经过近几十年的发展, 电子设计技术大致经历了三个主要的发展阶段, 从初期的CAD阶段到CAE阶段再到现在的EDA阶段, 电子设计技术取得了飞跃性的发展。

EDA技术最特别之处在于它的设计流程, 与传统自下而上的电子设计流程恰恰相反, EDA技术选择使用自上而下的设计流程, 它从电子系统设计的整体出发, 在进行设计之前就将系统中各部分之间的结构规划好, 在对方框图进行划分时完成相关的仿真和纠错工作, 使用HDL对高层次逻辑进行描述, 并运用综合优化方法完成所有有关工作, 然后通过使用EDA技术, 可以帮助用户实现对系统中任意一项硬件功能进行系统描述, 最后再利用现场可编程门阵列 (FPGA) 或复杂可编程逻辑器件 (CPLD) 来实现电子系统设计的结果。这种先进的电子技术有效地解决了传统电子设计技术的弊端, 减少了实际应用中出现故障的几率, 从而使设计效率得以大幅度提升。

2 EDA技术在电子线路设计中的应用

2.1 分频器的设计要求

分频器作为最基本的电子线路之一, 采用哪种类型的分频器应根据设计具体的要求进行选择, 通常在设计中下会遇到是选用半整数分频还是整数分频等的情况, 有时设计也会对是使用等占空比还是非等占空比提出要求, 并且在一种设计中也存在同时使用多种形式分频的情况, 这要按照设计要求来决定。结合EDA技术在具体设计中的运用情况, 本文以实现基准信号整数分频作为设计目标。

2.2 分频器的设计思路

将电子系统的输入信号假定为时钟信号, 再分别对其频率及周期进行设定, 并将占空比设为60兆赫、20微妙和30%, 然后把输入信号当做敏感信号进行4分频处理, 这样就可以获得与输入信号相应的输出信号。与此同时, 还应在另外一个系统中设置一个相关的复位信号, 并设置与之相配的记数器, 然后使之进入运行过程之中就可以达到实现设计的目的。

2.3 分频器的设计实现

将分频器分成六个步骤可以使设计顺利实现, 首先, 找到允许程序通过的Quartus II标志, 点击标志从而打开并进入程序;然后创建新的工程项目, 基于现有的已完成工程项目, 可以执行单击“Open Existing Project”的操作, 并选择项目所要保存的路径, 这时可以使用已有的文件夹, 也可以根据实际需要另建新的文件夹, 之后将与项目相应的名称输入进去, 这样就可以对已完成的文件在项目中进行加载, 这一系列操作完成后根据试验箱芯片的型号选择需要用到的FPGA芯片, 并对其进行从芯片封装到引脚数到速度的自上而下选择, 对芯片的选取范围作进一步的缩小。完成对芯片的选择之后, 选择需要对其进行调用的EDA工具, 然后点击出现的工程对话框即完成这一步的具体操作;第三步硬件描述语言文件的建立, 通过单击工具栏中File菜单栏正下方的New图标, 将已编写好的语言程序输入到框内, 完成后对其进行保存并确定相应的文件名, 单击工具栏中的编译图标出现确定并单击即可, 如果出现错误警告, 则检查警告信息中的设计问题;第四步是仿真波形图的建立, 可参考上一步进行操作, 在对New中的波形文件进行选择时则有所不同, 这时鼠标移至其下面的空白处并进行双击, 由此进入到时序仿真的端口中, 然后单击OK完成对仿真端口的选择;第五步仿真设置, 在菜单栏中设置仿真的截止时间, 一般设为20微妙即可, 然后在时钟对话框中设置其起始时间、运行周期及结束时间, 完成输入设置, 最后选择低或者高电平的数据范围, 完成选择后进行保存并注意文件与名称的一致性;最后一步是完成编译设置, 编译仿真波形图并使其按照每隔四个时钟的周期, 从输出端口获得等占空比的四分频波形, 然后对占空比或者记数器的技术状态值进行更改, 从而实现多种形式的分频。

3 结语

计算机技术的飞速发展和不断改进, 在很大程度上推进了电子技术的不断更新和发展, EDA技术也随之迅猛的发展起来, 因其涉及范围十分广泛, 内容的丰富多样, 并通过不断地改进和创新, 使其自身的优势得以突出, 它有效地解决了传统电子设计技术的弊端, 减少了实际应用中出现故障的几率, 使设计效率得以大幅度提升, 加上其运用对设计工作起到简化的作用, 从而使操作更加方便快捷, 因此其应用范围得以不断地扩大, 这对电子线路设计的长远发展起着至关重要的作用。

参考文献

[1]蔡洁华, 路多, 张红, 蔡文静.浅谈EDA技术发展背景及在电子线路设计中的应用[J].数字化用户, 2013 (14) :215-216.

[2]蔡美芳.EDA技术在电子线路设计中的应用[J].金山, 2012 (05) :10.

篇4:电子线路eda课程设计

【摘要】本文分析了传统的数字电子技术课程设计的不足,阐述了在课程设计中引入EDA技术的必要性和优越性。

【关键词】课程设计 数字电子技术 EDA 实验平台

【基金项目】中央高校基本科研业务费专项资金资助(编号:16CX02035A),中国石油大学青年教师教学改革项目(编号:QN201413),中国石油大学教学实验技术改革项目(编号:SY-B201402)。

【中图分类号】G642【文献标识码】B 【文章编号】2095-3089(2016)07-0239-02

进入21世纪以来,随着微电子技术、电子技术和计算机技术的飞速发展,数字电子技术及其应用向着更为深入、更为广泛的层次扩展。电子产品的设计周期和上市时间日益缩短,电子产品的功能更加丰富,性能更加优良,由此推动了电子系统设计技术向电子设计自动化EDA方向发展,并且对EDA技术及其应用提出了更高的要求。

1.课程设计的背景

中国石油大学(华东)的“电工电子学”课程是“国家级精品课程”,以着重培养学生的系统观念、工程观念、科技创新等基本素质为教学方针。多年来在教学和科研中紧跟电子技术发展的每一个关键时刻,教学组的教师都适时地对内容体系和教材进行更新和完善,坚持不断进行课程改革,取得了丰硕的成果。“数字电子技术课程设计”是为大二学生暑期开设的一门必修课程,它是“数字电子技术基础”和“电子技术实验”等课程的后续课程,主要以培养学生的实践能力和创新精神为目标,加深学生对理论知识的理解,切实提高动手和解决问题的能力。

2.课程设计的选题

针对电子专业的特点,我们在数字电子技术课程设计部分采用了“基于复杂可编程逻辑器件(CPLD)实现电阻、电感、电容的测量”这一题目。测量工作原理是将被测量转换成频率,由CPLD实现频率的计算,并转换成被测量信号后输出显示。电阻、电感、电容经过转化电路,完成电阻/频率(R/ F)转换,电容/频率(C/ F)转换,电感/频率(L/ F)转换。用CPLD测量其频率,具体功能分块包括:多路选择开关、分频器、时间闸门计数器、测量计数器等。

频率测量的主要部件是一个带门控计数端的计数器(测量计数器),被测信号(被测频率)由此计数器计数。如果门控计数器的开门计数时间恰好为1秒,则测量计数器的计数值就是输入信号的频率。若改变开门计数时间,即可改变频率测量的量程。如开门时间为0.1秒,则量程为×10,开门时间为0.01秒,量程为×100,开门时间为0.001秒,量程为×1000。由计数器的数值即可换算电阻、电感、电容的大小。

本课题要求设计一个测量电阻、电感、电容的4位十进制数字显示的数显仪表,根据频率计的测频原理,由测频量程需要,选择合适的时基信号即闸门时间,对输入被测信号进行计数,实现测量的目的。其数显测量范围为0-99990Hz,满刻度量程分为9999、99990两档,手动转换量程,当输入计数值大于实际量程时有溢出指示。

3.课程设计的实现

(1)按照现代数字系统的Top-Down模块化设计方法,提出数字频率计的整体设计方案,并进行正确的功能划分,分别提出并实现控制器、受控器模块化子系统的设计方案。

(2)针对ispLEVER的EDA设计环境,采用Verilog HDL语言,完成受控器模块(测量计数器)的设计,并采用Abel语言编程进行仿真。

(3)在ispLEVER的EDA设计环境中,完成基于Verilog语言实现的控制器模块(闸门计数器,量程开关,选择开关)的设计,并采用Abel语言进行仿真。

(4)基于ispLEVER的EDA设计环境,采用Verilog HDL语言或原理图,完成顶层模块的设计并采用Abel语言编写测试向量文件进行仿真。

4.结束语

通过课程设计的锻炼,学生可以增强综合分析问题及解决问题的能力,激发学习兴趣和潜在的能动性。有学生在总结报告中写道:“通过这次课程设计,我切身体会到给出一个命题,利用Verilog语言编程实现这个命题,并利用软件模拟仿真,看功能是否得以实现的全过程。一方面学到了许多新知识,另一方面使我们对数字电子设计的全过程有了一个全面的了解,同时也深刻感受到利用EDA软件实现电子设计的强大优势。这样的课程设计很适合我们,使我们受益匪浅”。

参考文献

[1]王君红, 刘复玉, 任旭虎. “电工电子学”实验教学模式改革[J]. 实验科学与技术, 2012, 10(5): 76-78.

[2]于云华. 数字电子技术基础[M]. 东营: 中国石油大学出版社, 2008: 392-399.

作者简介:

篇5:电子线路eda课程设计

题 目:晶体振荡器设计 专 业:通信工程

Protel课程设计报告

摘要

Protel 99 SE 是澳大利亚Protel Technology公司推出的一个全32位的电路板设计软件。该软件功能强大,人机界面友好,易学易用,使用该软件的设计者可以容易地设计出电路原理图和画出元件设计电路板图。而且由于其高度的集成性与扩展性,一经推出,立即为广大用户所接受,很快就成为世界PC平台上最流行的电子设计自动化软件,并成为新一代电气原理图工业标准。

一、课程设计的目的

1、了解Protel 99 SE绘图环境、各个功能模块、界面环境设置方法以及文件管理方法。

2、理解用Protel 99 SE设计电子电路的基本思想。

3、掌握用Protel 99 SE绘制电子电路原理图的基本方法。

4、掌握用Protel 99 SE绘制电子电路PCB板的基本方法。

二、课程设计用的仪器和器件

硬件:PC机(一台)

软件:Protel 99 SE

三、课程设计的主要内容

用Protel 99 SE软件绘制一个电路图,图有自己决定。先绘制出电路原理图,然后进行电气规则检验,没有错误后,生成网络表,然后根据网络表生成印制电路板图,最后自动布局,手工调整,自动布线,手工调整布线,保存打印。

四、课程设计步骤

1、绘制原理图

原理图设计最基本的要求是正确性,其实是布局合理,最后是在正确性和布局合理的前提下力求完美。(1)启动原理图设计服务器。

进入Protel99 SE,创建一个数据库,执行菜单File/New命令,从框中选择原理图服务器(Schematic Document)图标,双击该图标,建立原理设计文档。双击文档图标,进入原理设计服务器界面。(2)设置原理图设计环境

执行菜单Design/Option和Tool/Preferences,设置图纸大小,捕捉栅格,电器栅格等。(3)创建自己的元件库

先进入Protel 99 SE的原理图编辑器,新建一个元件,绘制SCH元件以及放入元件的管脚,给新建的元件改名,绘制制元件的外形以及放入说明文字并保存好,画原理图的时候,就可以调用这些元件了。(4)装入所需的元件库

在设计管理器中选择Browse区域中的下拉框中选择Library,然后单击ADD/Remove按钮,在弹出的窗口中寻找Protel99 SE子目录,在该目录中选择LibrarySCH路径,在元件库列表中选择所需的元件库,单击ADD按钮,即可把元件库增加到元件库管理器中。(4)放置元件

根据实际电路的需要,到元件库中找出所需的元件,然后用元件管理器的Place按钮将原件放置在工作平面上,再根据与按键之间的走线把元件调整好。(5)原理图布线

利用Protel99 SE所提供的各种工具,指令进行布线,将工作平面上的器件用具有点其意义的导线,符号连接起来,构成一个完整的电路原理图。(6)编辑和调整

利用Protel99 SE所提供的各种强大的功能对原理图进一步调整和修改,以保证原理图的美观和正确。同时对元件的编号,封装进行定义和设定等。(7)检查原理图

使用Protel99 SE的电器规则,及执行菜单命令Tool/ERC对画好的电路原理图进行电气规则检查,若有错误,根据错误情况进行改正。

(8)生成网络表

网络表是电路原理图设计和印刷电路板设计之间的桥梁,执行菜单命令Design/Create Netlist 可以生成具有元件名,元件封装,参数及元件之间连接关系的网络表。

经过以上的步骤,完成了最小系统原理图的设计。

2、PCB板的设计

电路设计的最终目的是为了设计出电子产品,而电子产品的物理结构是通过印刷电路板来实现的。Protel99 SE位设计者提供了一个完整电路板设计环境,是电路设计更加方便有效。应用Protel99 SE设计印刷电路板过程如下:(1)启动印刷电路板设计服务器

执行菜单File/New命令,从框中选择PCB设计服务器(PCB Document)图标,双击该图标,建立PCB设计文档。双击文档图标,进入设计服务器界面。(2)规划电路板

根据要设计的电路确定电路板的尺寸。选取Keep Out Layer复选框,执行菜单命令Place/Track,绘制电路板的边框。执行菜单Design/Options,在“ Signal Lager”中选择Bottom Lager,把电路板定义为单面板。(3)设置参数

参数设置是电路板设计的非常重要的步骤,执行菜单命令Design/Rules,左键单击Routing按钮,根据设计要求,在规则类(Rules Classes)中设置参数。

选择Routing Layer,对布线工作层进行设置:左键单击Properties,在“布线工作层面设置”对话框的“Pule Attributes”选项中设置Tod Layer为“Not Used”,设置Bottom Layer为“Any”。(4)装入元件封装库

执行菜单命令Design/Add/Remove Library,在“添加、删除元件库“对话框中所选取所有元件所对应的元件封装库。(5)装入网络表

执行菜单Design/Load Nets命令,然后在弹出的窗口中单击Browse按钮,再在弹出的窗口中选择地电路原理图生成的网络表文件(扩展名为Net),如果没有错误,单击Execute。若出现错误提示,必须更改错误。(6)Protel99 SE既可以进行自动布局也可以进行手工布局,执行菜单命令Tools/Auto Placement/Auto Placer可以自动布局。布局是布线关键性的一步,为了式布局更加合理,最好采用手工布局的方式。(7)自动布线

Protel99 SE采用世界最先静的无网络,基于形式的对角线自

动布线技术。执行菜单命令Auto Routing/All,并在弹出的窗口中单击Route all按钮,程序即对印刷电路板进行自动布线。只要设置有关参数,元件布局合理,自动布线的成功率几乎为100%。(8)手工数调整自动布线结束后,可能存在一些令人不满意的地方,手工调整,把电路板设计得尽善尽美。

五、课程设计发生的问题

虽然Protel99 SE功能强大,人机界面友好,但在设计过程中往往遇到一些问题。

1、生成的印刷电路版图与电路原理图不相符,有一些原件没有连上,这种情况时有发生,问题出在原理图上,原理图看上去是连上了,但是不符合规范,导致未连接上。不规范连线有:(1)连线超过元件器件的断点;(2)连线的两部分有重复。

解决方法是在在原理图连线时,应尽量做到:(1)在元件端点处连线;(2)元器件连线尽量一线连通。

2、在印刷电路板设计中装入网络表时元器件不能完全调入。原因:(3)原理图中未定义元件的封装形式;(4)印刷电路板封装的名称不存在,致使在封装库中找不到;(5)封装可以找到,单元件的管脚名称与印刷电路库中封装的管脚名称不一致。解决方法:

(1)到网络表文档中查找未定义封装的元件,补上元件封装;(2)确认印刷电路板元件封装库是否已调入,同时检查原理图中元件封装名称是否印刷电路板元件封装库中的名称一致;(3)将印刷电路板元件封装中的修改成与原理图中定义一致。

六、设计原理与电路

1.总原理及图

如下图所示为60MHz晶体振荡器,主要是由晶体振荡电路和缓冲放大电路等组成。晶体三极管VT1为60MHz的晶体振荡器,振荡器的输出送至晶体三极

管VT2的缓冲放大器进行信号放大,它的发射极有较大的反馈,使振荡器更稳定。电阻R5、R6为偏置,R7为负载电阻,信号通过电容C6耦合输出60MHz的高频信号。VT1集电极回路由电感L1及电容C4、C5组成并联谐振回路,调节空气可变电容 器C4,使回路谐振在振荡频率60MHz上,电感L3和电容C7组成去耦电路,其作用滤除电源中的高次谐波,以保证频率波形的纯度。

2.各模块说明

石英晶体振荡器的结构:

石英晶体振荡器是利用石英晶体(二氧化硅的结晶体)的压电效应制成的一种谐振器件,它的基本构成大致是:从一块石英晶体上按一定方位角切下薄片(简称为晶片,它可以是正方形、矩形或圆形等),在它的两个对应面上涂敷银层作为电极,在每个电极上各焊一根引线接到管脚 上,再加上封装外壳就构成了石英晶体谐振器,简称为石英晶体或晶体、晶振。其产品一般用金属外壳封装,也有用玻璃壳、陶瓷或塑料封装的。下图是一种金属外壳封装的石英晶体结构示意

七、protel 99 SE 设计与制作1.Protel PCB图:

八、心得体会

我觉得这次的课程设计中受益颇深。我不仅学会了如何使用Protel,并且画出电路的原理图和设计PCB板,而且还在实习中更加深刻的体会了如何与同学配合,互帮互助的精神。在实习中碰到了一些比较难的问题,自己不怕困难,自己专研,学会如何独立思考并解决问题。实在最后解决不了的上网搜集资料,还去图书馆学习,有时还请教同学,同学们都十分热情的帮助我。大家互帮帮助,体现了良好的团队意识。为将来进入社会前锻炼自己的合作精神,更好的为将来工作打好基础。

此次课程设计不仅加深巩固所学内容,同时对所学内容进行扩展,有一定的深度和广度,能充分发挥学生的能动性和想象力。通过电路设计,安装,调试等一系列环节的实施,提高学生的电路设计能力,实际操作能力。最终在大家共同的努力下,圆满的完成了本次课程设计的所有任务。

九、参考文献

篇6:电子线路eda课程设计

一、课程设计目的、要求

通过本课题设计与装配、调试,提高学生动手能力,巩固已学的理论知识,能使学生建立无线电发射机和接收机的整体概念,了解发射机整机和接收机整机各单元电路之间的关系及相互影响,从而能正确设计、计算发射机的各个单元电路:主振级、激励级、输出级、调制级、输出匹配网络及音频放大级;初步掌握小型发射机和接收机的调整及测试方法。

二、设计任务

1.调幅波发射机设计

2.七管式调幅收音机组装

三、调幅波发射机主要技术指标要求 1 载波频率f0=1.2MHz~2.5MHz 2.输出蜂包功率Pomax>0.25W

3.调幅系数Ma=50%±5%

4.包络失真系数r≤1%

5.负载电阻RL=50Ω

6.电源电压Ec=12V

此外,还要适当考虑发射机的效率,输出波形失真以及波段内输出功率的均匀度等。四、七管式调幅收音机组装步骤 1.熟悉调幅收音机电气原理图 2.元器件准备 3.组合件准备 4.插件焊接 5.大件安装 6.开口检查与试听 7.前框安装

五、课程设计要求

1.每个同学要认真完成发射机的理论设计和接收机的原理分析,完成设计报告。2.完成发射机的仿真、调试工作,达到技术指标要求。3.完成接收机的组装、调试工作,达到技术指标要求。

六、课程设计报告书写要求

1.根据课题,拟定设计方案,以及简述发射机电路工作原理。2.根据技术指标,完成发射机单元电路的设计计算,元器件合理选择,画出各单元电路图。

3.对发射机调试过程中出现的问题应作分析,写出故障原因及如何排除。4.画出发射机电路图,列出元器件明细表。5.画出接收机电路图,分析各部分工作原理。

6.对接收机调试过程中出现的问题应作分析,写出故障原因及如何排除。7.写出设计心得体会。

8.要求字迹端正、画图工整。

七、考核

课程设计成绩评定为优、良、中、及格、不及格五级制。根据学生单元电路设计情况、电路的组装与调试情况、设计报告的完成质量,并结合学生的出勤情况和工作态度综合给出成绩。

八、主要参考资料

篇7:电子线路eda课程设计

1.1电路图的设计。作为电子设计中的重要环节,设计结构完善、功能全面的.电路图很有必要,这是确保电子设计最终产物能够正常使用的根本保障。在电子设计者进行电路原理图的设计工作时,完全可以借助Protel工具,实现原理图的输入。Protel蕴藏着资源丰富的电子器件库,在Protel的辅助下,设计者在绘图期间能够结合设计需求,灵活使用各类电子器件,大大简化了设计的工作量,同时提高了电路原理图的精密度。譬如,使用者绘制完成元器件后,可以根据自己的想象,将其放在任何一个位置,仅需通过拖动就能实现,无需进行其他调整参数等操作。

1.2模拟数据。电子线路CAD技术还能起到模拟数据的作用,以便设计者根据模拟电路运行产生的数据,检验电路设计有无异常。同时,可结合模拟数据,对电路进行更深层次的分析。Protel软件本身自带多种模拟功能,设计者可通过模拟功能的运用,对电子设计在通电情况下的温度、瞬态、灵敏度等情况有一个初步的了解,以确保该电路的功能是否达到预期效果。另外,还可利用数据模拟,了解电路各环节的运行情况,以便设计者及时察觉线路异常,并尽快采取措施进行调整。

篇8:电子线路eda课程设计

EDA(电子设计自动化)技术是以计算机的硬件和软件为基本平台,以计算机科学、微电子技术、应用电子技术、智能技术为基础,涵盖电子设计、仿真、验证、制造全过程的所有技术,颠覆了传统的数字系统的设计方法。在国内大学本科教育中,《EDA技术》狭义上一般是指以FPGA/CPLD集成开发环境为工具软件,以硬件描述语言(HDL)为设计输入,以可编程器件(PLD)为载体,以可编程片上系统(SOPC)芯片为目标器件的电子产品自动化设计课程。开设的时间一般是在学习完《模拟电子技术基础》和《数字电子技术基础》课程后的大三学期。《EDA技术》在我校是电子信息类专业方向一门重要的专业选修课程,该课程内容更新快、实践性要求强,注重软、硬件设计及系统设计综合能力的培养,对于提高学员的动手实践能力以及创新意识具有重要的作用。《EDA技术》作为当今主流的电子系统的设计方法,理应成为高等院校学生必须掌握的一门课程。因此,加强《EDA技术》课程教学,提高学生的电子设计能力,是摆在高等院校教师面前的一项重要任务。

全国大学生电子设计竞赛是教育部倡导的四大学科竞赛之一,是电子信息领域中举办时间最长、实施范围最广、参与学生最多的大学生基础性学科竞赛。目的在于推动全国普通高等学校信息与电子类学科面向21世纪课程体系和课程内容的改革。自1994年首届全国大学生电子设计竞赛举办以来,已经成功举办了9届,竞赛的规模也逐届扩大。电子设计竞赛受到了全国各高校师生的普遍关注和欢迎,并得到了社会的赞誉和支持。部分省市纷纷举办省级电子设计竞赛,组织省内高校参加,鼓励高校教育创新,并为参加国家级大赛积累经验和储备人才。

电子设计竞赛对全国普通高校信息与电子类学科面向二十一世纪课程体系和课程内容的改革起到了巨大的推进作用,有助于高等学校素质教育的实施,培养大学生的创新能力、协作精神和理论联系实际的学风;有助于学生工程实践能力的培养,提高学生对实际问题进行电子设计制作的能力;有助于吸引、鼓励广大学生踊跃参加课外创新实践活动,为优秀人才的脱颖而出创造条件。而当前,《EDA技术》课程教学存在着日趋减少的教学时数和日益增多的教学内容之间的矛盾,要想提高教学质量,必须根据全国大学生电子设计竞赛的理念,借鉴其他课程的教学改革方案,优化该课程的理论和实践教学。

1 改革

1.1 现状及弊端

《EDA技术》是一门实用性、操作性和工程性很强的课程。课程的目标我们定位在要求本科生掌握常用EDA工具使用方法、FPGA开发技术以及HDL编程方法;学会行为仿真和时序仿真技术;为EDA技术的进一步学习、ASIC设计以及超大规模集成电路设计奠定基础;为电子设计竞赛、本科毕业论文设计和从事科研工作做准备。然而,目前《EDA技术》课程教学中,无论是理论教学、实践教学,还是考核方法都存在着一些突出问题,主要表现为:

(1)理论教学问题。目前,在有些高校《EDA技术》课程的理论教学存在着大而全,基本上是包括EDA概述、PLD简介、硬件描述语言、软件开发工具、原理图PCB制作、仿真设计方法等教学内容,力求将书本的陈旧知识照本宣科地搬到课堂教学上来,不分重点地一股脑都教给学生。由于EDA涉及的内容太多太广,使得学生无从找学习的突破口,部分学生甚至于将其当作一门理论课来学习。另外,除了课本知识,在网络资源上还存在大量EDA技术的新知识、新方法。由于学生习惯了传统的从书本上学习知识的方法,老师引导学生学习网络媒体资源信息过少,这些也导致了《EDA技术》理论教学与当今技术本身发展的脱节。

(2)实践教学问题。《EDA技术》课程的实践教学内容基本都是验证性实验,而且实验的难度和创新性过小。学生在实验时都是按照同一的实验方法、实验手段验证基本的数字电路(比如键盘扫描、LED发光等),新的FPGA设计技术比如IP核、SOPC、软核等在实验中得不到应用。实验内容、实验方法和手段单调,实验内容严重与工程应用脱节,缺少综合性、创新性的实验题目,这些极大地降低了学生学习的积极性、主动性和能动性,使得学生利用EDA技术进行电子设计的能力得不到根本的提高。

(3)考核问题。《EDA技术》课程的考核主要还是按照理论考试的形式,实验成绩只是作为参考。这样就引导了学生重视理论的学习,而对非常重要的实践环节采取轻视的态度,无法得到只有在实践中才能掌握的经验和方法。最终的结果是培养出一个个考试的“能手”,而实践动手方面却是“低手”。

1.2 改革方法及措施

针对《EDA技术》课程学习中存在的问题,通过学习借鉴国内外先进的教学思想和理念,以及组织参加全国大学生电子设计竞赛的经验,对《EDA技术》课程进行如下三方面的改革:

(1)精讲。课程内容合理安排,重点突出,对于不影响学习进程的一般内容可以少讲甚至不讲,对于实践过程中经常使用的知识进行重点讲解。举例来说,在PLD硬件结构章节,只讲PLD的一般结构和F P G A/C P L D的硬件结构区别,而对FPGA/CPLD内部的详细硬件结构少讲,可以让感兴趣的学生自学,这样不影响后面硬件描述语言的学习;在HDL硬件描述语言章节,只讲述用户最多、易学易用的Verilog语言,不讲VHDL语言,因为只学习一种硬件描述语言就足够后面实践用了,《EDA技术》课程学习的重点不是在语言上;在Verilog语言教学上,不采用C语言或汇编语言那样逐条语句面面俱到的讲授方法,而重点讲述在日常实践中用得最多的可综合的过程语句、赋值方法、顺序执行、并行执行等,并通过大量的数字电路设计举例,加深学生对这些重点语句的理解和掌握;对于不可综合的仿真语句,作一般性的介绍,并作为学生自学的内容;以Altera公司的QuartusII为例,新增SOPC、DSP Builder、Nios II等内容,并作一般性的介绍,使学生了解当今FPGA/CPLD设计的最新技术,使得课程内容与时俱进;介绍使用Model Sim工具进行PLD设计仿真的方法,给学生直观的仿真波形图,加深学生对FPGA/CPLD语句并行执行概念的理解。通过对重点内容进行重点讲解,对一般内容进行有的取舍,这样在课程授课时间恒定的前提下,使得学生直奔主题,快速掌握《EDA技术》的核心内容,为下一步进入实践环节打下坚实的基础。

(2)多练。《EDA技术》是一门实践性很强的课程,其任务不仅是要教会学生书本上的设计理念和设计思路,更重要的是通过实践的练习教会学生如何将这些理念和思路应用到实际系统中去,为此必须安排大量的实验。在课程实验的安排,要体现层次性,将实验大致分为验证性、设计性和综合性实验三种。在课程语法学习的初始阶段,可以在课后设计验证性的实验来加深对课堂内容的理解。比如,在阻塞与非阻塞赋值的讲解上,安排验证性的仿真实验来理解顺序执行与并行执行;在有限状态机的讲解中,通过设计序列码检测器的验证性实验来加深对状态机状态转换控制的理解;在竞争冒险、延迟等现象的讲解上,通过Model Sim前仿真与后仿真验证性实验的设计来理解电路设计中的时序问题。在课程语法内容讲解完之后,安排设计性实验将前面讲解的内容串联起来,达到融会贯通的目的。比如,设置数字时钟设计的设计性实验,要求学生用FPGA分频产生时分秒计数信号,并通过有限状态机控制LCD屏,将时分秒计数数值在LCD上显示。在这门课学完之后,安排综合性的实验题。比如将2005年全国大学生电子设计竞赛A题正弦信号发生器中的部分内容抽取出来,让学生综合利用FPGA来产生各种信号,并通过DA和低通滤波网络将信号模拟化输出。这样,实验内容由易到难,由简到繁,逐步引导学生真正地达到实际工程应用的层次水平。另外,在程序设计阶段,要引导学生注重软件仿真的方法,通过仿真波形图观察和分析实验现象,发现问题和提出问题,进而修改和完善设计;在程序验证阶段,要求学生必须得到最终的硬件测试结果。这种教学方式给学生提供了层次化的实验方案,培养了他们分析问题和解决问题的能力、综合设计能力、创新能力和应用新技术的能力,提高其工程技术素质。

(3)考核改革。在提倡“精讲、多练”的同时,还必须改革考核方法,才能让学生彻底摆脱自小到大习惯于“应试教育”所带来的不利影响,确保教学内容、教学方法改革的顺利实施,用“考核指挥棒”引导学生走“注重基础、培养能力”的治学道路。因此,在考核方法的改革上,要全面、客观、公平、易行。我们规定学生课程学习的最终成绩由三方面组成:1)平时课堂表现占10%:主要是鼓励课堂上积极回答问题、积极提问的学生,用于活跃课堂气氛,激发学生想象力;2)理论考试占30%:由于《EDA技术》课程理论内容很重要,不能摈弃,是进行实践的基础,因此需引导学生对重点理论内容要掌握和灵活运用;3)实验占60%:实验分验证性、设计性和综合性实验三种,特别是加大综合性实验的分值,同时要求实验成绩必须合格,本门课的总成绩才有可能合格。实验内容包括硬件设计、程序编写、软件仿真、硬件功能测试、课程设计报告等。

通过考核方式的改革,不仅学生的动手实践积极性得到了提高,而且能够达到正确引导学生学习的目的。

2 结束语

从2003年起,在我院电子工程、信息工程与通信工程等专业开设的《EDA技术》课程教学中,采用了上述教学改革。尽管这门课是选修课,但我院各专业本科生都选择了这门课学习。通过以电子设计竞赛理念为主导的近几年的教学改革实践,充分调动了学生学习此课程的积极性,提高了学生的实践能力和创新能力。在2007、2009、2011连续三年全国大学生电子设计竞赛中,有关使用FPGA/CPLD平台进行设计的学生都获得过全国一等奖的好成绩,证明了《EDA技术》课程改革的成效。

摘要:《EDA技术》作为电子信息类专业方向一门重要的专业基础课程,对培养大学生的创新实践能力具有重要的作用。分析了当前《EDA技术》课程的现状以及存在的教学弊端,提出以电子设计竞赛理念为主导,在教学理念、教学方法以及考核方法等方面提出了具体的改革措施。经过课堂教学实践证明,改革方法对于提高大学生学习的主动性和创造性,以及增强实践动手能力具有良好作用,对于提高电子设计竞赛的成绩具有明显的效果。

关键词:电子设计自动化,教学改革,电子设计竞赛

参考文献

[1]于海东.《EDA技术》课程的教学改革探索[J].中国现代教育装备,2008(8):93-94.

[2]王勇,徐丹,彭勃,等.《EDA技术与应用》课程教学改革探索[J].中国科教创新导刊,2008(30):44.

[3]田慕琴,宋建成,陈惠英,等.论EDA技术在电工电子技术课程教学中的应用[J].电气电子教学学报.2010(S1):53-54.

篇9:电子线路eda课程设计

摘要:本文主要从电子设计自动化课程的特点、结合信息化教学,以微课教学为载体,论述了微课设计的可行性、制作微课的实施方案及微课的应用价值。

关键词:微课;EDA(电子设计自动化);信息化教学

【中图分类号】TN02-4 【文献标识码】B 【文章编号】2095-3089(2016)34-0010-02

一、研究现状

微课受教育部、到各省市区各级教育行政部门的高度重视

教育部、各省市区县各级教育行政部门、学校以及学会/协会、联盟均在积极开展面向高等教育、基础教育、职业技术教育等领域的微课比赛和资源建设计划。比如,2012年教育部全国高校教师网络培训中心和教育部教育管理信息中心先后启动了微课比赛,浙江、江西、江苏等许多省市地方教育行政部門也组织了各自的比赛和资源建设计划,中国教育技术协会也组织了微课比赛。不久前,中国职业教育微课程联盟也在上海同济大学宣告成立。

微课拍摄与制作技术在硬件和软件上取得了重要突破

美国的LiveScriveSmartPen与瑞典的Anoto智能笔开始被介绍到中国,并受到一线教师的欢迎,日本东芝公司独辟蹊径,设计开发了东芝玲珑微课制作系统。不仅如此,录播教室的迅猛发展,也为微课设计开发提供了良好的硬件保障和系统环境。同时,微课制作方法也得到了普及与发展,录屏软件录制、摄像工具录制、录播教室录制、专业演播室制作、智能笔录制、专用软件录制、iPad录制等一系列微课制作工具深受广大教师喜爱。

不仅如此,ShowMe、EduCreations、ZTE录课通、课程派(KeChengPai.com)、K12录课通等一批基于台式机、笔记本电脑、平板电脑、浏览器的录课软件系统也涌现出来。微课拍摄与制作技术在硬件和软件上都取得了重要突破。

二、实施方案

1.对项目组成员开展基于微课的网络研修研究及培训,提高教师对微课设计软件的应用能力,掌握微课制作技巧、慕课开发技巧,与国内外优秀微课制作团队进行经验交流。

开展基于微课的网络研修,提升网络时代教师信息化教学与教研能力、促进教师专业发展。通过微课帮助教师提升信息化教学设计这一核心能力;通过微课建设提升教学评价能力和教学反思能力。

2.做好微课建设内容的整体规划。

电子设计自动化课程重点培养学生的EDA软件的应用能力,课程内容规划的一项重要工作是要按照课程标准并结合教材,组织项目组成员共同确定微课课程的知识点,在征求意见后统一发布。

3.建设微课平台

微课平台是微课资源建设、共享和应用的基础。平台功能要在满足微课 资源日常“建设、管理”的基础上增加便于用户“应用、研究”的功能模块。形成微课建设、管理、应用和研究的“一站式”服务环境。

4.以项目化教学为依据,以培养技能为主线,设计微课制作的主题和情境。

在设计过程中,主题突出、内容具体。将EDA课程按照项目化教学的要求进行分解,每个项目都是来源于电子设备在设计和使用中的实际案例,从有效提高学生技能的角度出发,进行重点、难点的突破。微课课程的使用对象是教师和学生,课程研发的目的是将教学内容、教学目标、教学手段紧密地联系起来,所以,决定了研发内容一定是教师自己熟悉的、感兴趣的、有能力解决的问题。

5.进行微课开发

微课内容开发是一个较为复杂的系统工程。其建设模式采用 “项目开发式”。微课资源建设一般要经过宣传发动、技术培训、选题设计、课例拍摄、后期加工、在线报送、审核发布、评价反馈等环节,才能确保其质量。选取《电子设计自动化》课程为微课开发主题。以教学视频片段为主线“统整”教学设计(包括教案或课件)、课堂教学时使用到的多媒体素材和课件、教师课后的教学反思、学生的反馈意见及学科专家的文字点评等相关教学资源,构成一个主题鲜明、类型多样、结构紧凑的“主题单元资源包”,营造一个真实的“微教学资源环境”,使得“微课”资源具有视频教学案例的特征。

6.开展微课建设交流应用

交流与应用是微课建设的最终目的。通过集中展播、专家点评和共享交流等方式,向广大师生推荐、展示优秀获奖微课作品;定期组织教师开展 “微课库”的观摩、学习、评课、反思、研讨等活动,推进基于微课的校本研修和网上教研新模式形成。

三、创新点

本课题的创新之处:转变“以教师为中心”的教学思想,实践“以学生为中心”的教学理念;建立新型的教学模式,实现师生互动、优质教学资源共享,提高教学效果和学生实践能力;解决学校“多媒体网络教室”不足的问题,使多媒体教学环境进入普及阶段。

四、应用价值。

1.新课导入 教师根据新课知识点设计新颖的问题,吸引学生的注意力,为新课的讲解做好铺垫制作此微课。在开始上课后先让学生看此视频。

2.知识理解 教师对本节重难点做点拨,典型例题引导学生探究规律。在学生自主探究或合作探究后一起看此视频。

3.练习巩固 教师设计好少而精的习题并制作好微课,用于巩固本节知识。

4.微课短小精悍,一个议题,一个重点,都是针对学生学习中的疑难问题设计,非常适合学生自学。

5.适应不同的学生,视频播放快慢课一调节,让不同程度的学生根据的自己的基础和接受程度控制视频的快慢。由于视频可以反复播放,使那些平时反应慢的又羞于发问的学生能够从容的反复观看,较好的解决了后进生的转化问题。

作者简介:辛显荣(1968-),女,山东汶上人,硕士,山东电子职业技术学院副教授,研究方向:EDA技术,FPGA技术。

篇10:EDA课程设计论文

一、摘要

二、概述

2.1目的与要求 2.2实验仪器与设备 2.3实验注意事项 2.4设计环境

三、实验内容

四、4位加法器设计实现过程

4.1元件选择

4.2编辑半加器的原理图 4.3编译设计图形文件 4.4生成元件符号 4.5功能仿真设计文件 ① 建立波形文件 ② 输入信号节点

③ 设置波形参量

④ 设定仿真时间宽度 ⑤ 加入输入信号 ⑥ 波形文件存盘 ⑦ 进行仿真

4.6 1位全加器的实现过程 4.7 四位加法器实现过程

五、收获与心得体会

一、摘要

随着电子技术和计算机技术的飞速发展,电子线路的设计工作也日益显得重要。经过人工设计、制作实验板、调试再修改的多次循环才定型的传统产品设计方法必然被计算机辅助设计所取代,因为这种费时费力又费资源的设计调试方法既增加了产品开发的成本,又受到实验工作场地及仪器设备的限制。

20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。

现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。

二、概述

2.1目的与要求

1、学习MAX+plusⅡ工具软件的基本功能和使用方法。

2、学习使用原理图输入法设计半加器,掌握原理图输入法的操作步骤。

3、初步掌握设计电路原理图的编辑、编译、仿真等操作方法。每次实验前,学生须仔细阅读本实验指导书的相关内容:

1)明确实验目的和实验内容; 2)明确实验原理与步骤;

3)复习与实验内容有关的理论知识;

4)预习仪器设备的使用方法、操作规程及注意事项。

2.2实验仪器与设备

1、PC机

2、MAX+plus II 软件

2.3实验注意事项

1.实验开始前,应先检查本人的计算机是否安装相关软件,了解其软件的使用方法和要求。

2.实验时每个同学应单独设计程序、操作、记录实验结果等,使每个同学受到全面训练。

3.测量数据或观察现象要认真细致,实事求是。使用计算机要符合操作规程,切勿随便重启频繁开关计算机。

4.未经许可,不得动用其它人的仪器设备或计算机等物。

5.实验结束后,实验记录交指导教师查看并认为无误后,离开机房。最后,应清理计算机,备份编写程序。

6.爱护公物,发生仪器设备等损坏事故时,应及时报告指导教师,按有关实验管理规定处理。

7.自觉遵守学校和实验室管理的其它有关规定。

2.4设计环境

QuartusII design

杂的,用

于system-on-a-programmable-chip(SOPC)的设计环境。QuartusII design 提

供完善的 timing closure 和 LogicLock™ 基于块的设计流程。QuartusII design是唯一一个包括以timing closure 和 基于块的设计流为基本特征的programmable logic device(PLD)的软件。Quartus II 设计软件改进了性能、提升了功能性、解决了潜在的设计延迟等,在工业领域率先提供FPGA与mask-programmed devices开发的统一工作流程。

Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

三、实验内容

以Altera公司的MAX+plus II为工具软件,采用原理图输入法设计半加器h_adder,生成元件符号,并仿真验证设计结果。

四、4位加法器设计实现过程

4.1元件选择

在MAX+plus II工具软件的元件库中已经有与门、或门、与非门和异或门等元件,在设计中可直接调用这些元件,实现电路设计。

图1 半加器原理图

在元件选择对话框的符号库“Symbol Libraries”栏目中,用鼠标双击基本元件库文件夹“d:maxplus2max2libprim”后,在符号文件“Symbol Files”栏目中列出了该库的基本元件的元件名,例如and2(二输入端的与门)、xor(异或门)、VCC(电源)、input(输入)和output(输出)等。在元件选择对话框的符号名“Symbol Name”栏目内直接输入xor,或者在“Symbol Files”栏目中,用

鼠标双击“xor”元件名,即可得到异或门的元件符号。用上述同样的方法也可以得到其他元件符号。

4.2编辑半加器的原理图

半加器逻辑电路图如图1所示,它由1个异或门和1个与门构成,a、b是输入端,SO是和输出端,CO是向高位的进位输出端。

在元件选择对话框的符号名“Symbol Name”栏目内直接输入xor,或者在“Symbol Files”栏目中,用鼠标双击“xor”元件名,即可得到异或门的元件符号。用上述同样的方法也可以得到与门及输入端和输出端的元件符号。用鼠标双击输入或输出元件中原来的名称,使其变黑后就可以进行名称修改,用这种方法把两个输入端的名称分别更改为“a”和“b”,把两个输出端的名称分别更改为“SO”和“CO”,然后按照图1所示的半加器逻辑电路的连接方式,用鼠标将相应的输入端和输出端及电路内部连线连接好,并以“h_adder.gdf”(注意后缀是.gdf)为文件名,存在自己建立的工程目录d:myedamygdf内。进行存盘操作时,系统在弹出的存盘操作对话框中,自动保留了上一次存盘时的文件名和文件目录,不要随意单击“OK”按钮结束存盘,一定要填入正确的文件名并选择正确的工程目录后,才能单击“OK”按钮存盘,这是上机实验时最容易忽略和出错的地方。

4.3编译设计图形文件

设计好的图形文件一定要通过MAX+plus II的编译。在MAX+plus II集成环境下,执行“MAX+plus”菜单下的“Compiler”命令,在弹出的编译对话框中单击“Start”按钮,即可对h_adder.gdf文件进行编译。

在编译中,MAX+plus II自动完成编译网表提取(Compiler Netlist Extractor)、数据库建立(Database Builder)、逻辑综合(Logic Synthesizer)、逻辑分割(Partitioner)、适配(Fitter)、延时网表提取(Timing SNF Extractor)和编程文件汇编(Assembler)等操作,并检查设计文件是否正确。存在错误的设计文件是不能将编译过程进行到底的,此时计算机会中断编译,并在编译(Compiler)对话框中指出错误类型和个数。

4.4生成元件符号

在MAX+plus II集成环境下,执行“File”菜单下的“Create Default Symbol”

命令,将通过编译的GDF文件生成一个元件符号,并保存在工程目录中。这个元件符号可以被其他图形设计文件调用,实现多层次的系统电路设计。

4.5功能仿真设计文件

仿真,也称为模拟(Simulation);是对电路设计的一种间接的检测方法。对电路设计的逻辑行为和功能进行模拟检测,可以获得许多设计错误及改进方面的信息。对于大型系统的设计,能进行可靠、快速、全面的仿真尤为重要。

① 建立波形文件

进行仿真时需要先建立仿真文件。在Max+p1us II环境执行“File”的“New”命令,再选择弹出的对话框中的Waveform Editor fi1e项,波形编辑窗口即被打开。

② 输入信号节点

在波形编辑方式下,执行“Node”的“Nodes from SNF”命令,弹出输入节点“Enter Nodes from SNF”对话框,在对话框中首先单击“List”按钮,这时在对话框左边的“Available Nodes&Groups”(可利用的节点与组)框中将列出该设计项目的全部信号节点。若在仿真中只需要观察部分信号的波形,则首先用鼠标将选中的信号名点黑,然后单击对话框中间的“=>”按钮,选中的信号即进入到对话框右边的“Selected Nodes&Groups”(被选择的节点与组)框中。如果需要删除“被选择的节点与组”框中的节点信号,也可以用鼠标将其名称点黑,然后单击对话框中间的“<="按钮。节点信号选择完毕后,单击“OK”按钮即可。

③ 设置波形参量

在波形编辑对话框中调入了半加器的所有节点信号后,还需要为半加器输入信号a和b设定必要的测试电平等相关的仿真参数。如果希望能够任意设置输入电平位置或设置输入时钟信号的周期,可以在Options选项中,取消网格对齐Snap to Grid的选择(取消钩)。

④ 设定仿真时间宽度

在仿真对话框,默认的仿真时间域是1μS。如果希望有足够长的时间观察仿真结果,可以选择“File”命令菜单中的“End Time”选项,在弹出的“End Time”对证框中,填入适当的仿真时间域(如5μS)即可。

⑤ 加入输入信号

为输入信号a和b设定测试电平的方法及相关操作如教材图2.1.3所示,利用必要的功能键为a和b加上适当的电平,以便仿真后能测试so和co输出信号。

⑥ 波形文件存盘

以“h_adder.scf”(注意后缀是.scf)为文件名,存在自己建立的工程目录d:myedamygdf内。在波形文件存盘时,系统将本设计电路的波形文件名自动设置为“h_adder.scf”,因此可以直接单击确定按钮。

⑦ 进行仿真

4.6 1位全加器的实现过程

1位全加器可以用两个半加器及一个或门连接而成。其原理图如图2所示。在Quartus7.2图形编辑方式下,在用户目录中找到自己设计的半加器元件h_adder,并把它调入原理图编辑框中(调入两个),另外从d:maxplus2max2libprim元件库中调出一个两输入端的或门,并加入相应的输入和输出元件,按照图1所示电路连线,得到1位全加器电路的设计结果。电路中的a和b是两个1位二进制加数输入,cin是低位来的进位输入,sum是和输出,cout是向高位进位输出。

图2 1位全加器原理图

按以上步骤进行仿真,仿真图如下:

1位全加器仿真图

4.7 四位加法器实现过程

在一位全加器的基础上设计四位全加器,其原理图如图所示

图3 四位加法器原理图

按以上操作进行仿真,仿真图如:

五、收获与心得体会

本次的EDA课程设计历时一星期,时间虽短,但通过一个星期的实践,使我对EDA技术有了更进一步的了解。同时,大致懂得了一个课题制作的具体流程和实施方法。另外,课程设计对QuartusⅡ软件的使用要求较高,从而使我能较为熟练的运用此软件。在设计时,采用模块化的设计思路使得问题变的简单明了,大大缩短了时间,降低了发生错误的机侓,也便于修改和更新。

课程设计中,需要找很多资料,在当今的信息化环境中,虽然资料很多,但需要仔细斟酌才能找到所要的。这次的课程设计很好的锻炼了这种能力。此外,与同学和老师的交流必不可少,我从中也学到了不少东西。

上一篇:太极拳俱乐部策划下一篇:新青教师培训心得体会