数字逻辑复习要点

2024-05-29

数字逻辑复习要点(共11篇)

篇1:数字逻辑复习要点

数字逻辑复习范围 P143,4.4,4.9;章节

1.1.2

1.2

1.3

1.4

2.1.3

2.4.2

3.4.1

3.4.2

4.2

5.3

7.1.2

7.1.3

题型:

1:选择题20分 2:填空20分

3:卡若图化简16分 4:状态化简10分

5:逻辑设计16+20=36分(组合逻辑电路设计,同步时序逻辑设计)

篇2:数字逻辑复习要点

一、模拟电路和数字电路的区别

二、组合逻辑电路和时序逻辑电路的区别:输出只与当时的输入有关,如编码器,比较器等;输出不仅与当时的输入有关,还与电路原来的状态有关。如:触发器,计数器,寄存器等。

三、数制及其转换

1.不同的数制及其各种进制转换方法

2.几种常用的编码

(1)BCD码

用4位二进制代码对十进制数字符号进行编码,简称为二–十进制代码,或称BCD(Binary Coded Decimal)码。BCD码既有二进制的形式,又有十进制的特点。常用的BCD码有8421码、5421码、2421码和余3码。

(1--1)8421码:是用4位二进制码表示一位十进制字符的一种有权码,4位二进制码从高位至低位的权依次为23、22、21、20,即为8、4、2、1,故称为8421码。8421码中不允许出现1010~1111六种组合。

(1--2)5421码:用4位二进制码表示一位十进制字符的另一种有权码,4位二进制码从高位至低位的权依次为5、4、2、1,故称为5421码。5421码中不允许出现0101、0110、0111和1101、1110、1111六种组合。

(1--3)2421码: 用4位二进制码表示一位十进制字符的另一种有权码,4位二进制码从高位至低位的权依次为2、4、2、1,故称为2421码。

(1--4)余3码:由8421码加上0011形成的一种无权码,由于它的每个字符编码比相应8421码多3,故称为余3码。例如,十进制字符5的余3码等于5的8421码0101加上0011,即为1000。

(2)可靠性编码

(2--1)格雷码:1.特点:任意两个相邻的数,其格雷码仅有一位不同。

2.作用:避免代码形成或者变换过程中产生的错误。

掌握二进制和格雷码的转换方法

(2--2)奇偶检验码:奇偶检验码是一种用来检验代码在传送过程中是否产生错

误的代码。

第二章 逻辑代数

一、各种逻辑代数定律

二、基本逻辑运算符号

三、逻辑代数的基本定理和规则

三个基本运算规则

1.代入规则:任何含有某变量的等式,如果等式中所有出现此变量的位置均代之以一个逻辑函数式,则此等式依然成立。

2.反演规则:把式中的运算符“•”换成“+”, “+” 换成“•”; 常量“0”换成“1”,“1”换成“0”; 原变量换成反变量,反变量换成原变量。

3.对偶规则:若把式中的运算符“.”换成“+”,“+”换成“.”; 常量“0”换成“1”,“1”换成“0”。

三种规则均不能改变逻辑函数本身的运算顺序。

四、函数表达式的常用形式(五种常用形式)

五、逻辑函数表达式的标准形式:注意标准最小项(与或式)和标准最大项(或与式)的转换方法:代数转换法,真值表转换法。

六、逻辑函数化简:逻辑函数化简有2种常用方法:代数化简法、卡诺图化简法。

1.代数法化简

代数化简法就是运用逻辑代数的公理、定理和规则对逻辑函数进行化简的方法。

(1)“与-或”表达式的化简

(2)“或-与”表达式的化简

七、卡诺图(K图)

1.n个逻辑变量的函数,卡诺图有2n个方格,对应2n个最小项。

2.行列两组变量取值按循环码规律排列,相邻最小项为逻辑相邻项。

3.相邻有邻接和对称两种情况。

4.掌握4个以内逻辑变量卡诺图的画法

5.卡诺图化简

(1)圈要尽可能大,每个圈包含2n个相邻项。

(2)圈的个数要少,使化简后逻辑函数的与项最少。

(3)所有含1的格都应被圈入,以防止遗漏积项。

(4)圈可重复包围但每个圈内必须有新的最小项。

6.具有无关项逻辑函数的化简方法

(1)填函数的卡诺图时,在无关项对应的格内填任意符号“Φ”、“d”或“×”。

(2)化简时可根据需要,把无关项视为“1”也可视为“0”,使函数得到最简。

第三章 集成门电路与触发器

一、正逻辑与负逻辑的概念

正逻辑:用高电平表示逻辑1,低电平表示逻辑0。

负逻辑:用高电平表示逻辑0,低电平表示逻辑1。

二、逻辑函数的实现

1.用与非门实现逻辑函数

用与非门实现逻辑函数一般步骤:

第一步:求出函数的最简与—或表达式。

第二步:将最简与—或表达式变换成与非—与非表达式。

第三步:画出逻辑电路图。

2.用或非门实现逻辑函数一般步骤

第一步:求出函数的最简或—与表达式。

第二步:将最简或—与表达式变换成或非—或非表达式。

第三步:画出逻辑电路图。

3.用与或非门实现逻辑函数

第一步:求出给定函数反函数的最简与-或表达式。

第二步:对反函数的最简与-或表达式取反,得到原函数 的与-或-非表达式。第三步:画出逻辑电路图。

第四章 组合逻辑电路

一、组合逻辑电路定义

若逻辑电路在任何时刻产生的稳定输出值仅仅取决于该时刻各输入值的组合,而与过去的输入值无关,则称为组合逻辑电路。

组合电路具有两个特点:

a.由逻辑门电路组成,不包含任何记忆元件;

b.信号是单向传输的,不存在反馈回路。

二、组合逻辑电路分析

逻辑电路分析,是指对一个给定的逻辑电路,找出其输出与输入之间的逻辑关系。一般步骤:

1. 写出输出函数表达式

根据逻辑电路图写输出函数表达式时,一般从输入端开始往输出端逐级推导,直至得到所有与输入变量相关的输出函数表达式为止。

2. 输出函数表达式化简

运用代数法或卡诺图法进行化简

3. 列出输出函数真值表

真值表详尽地给出了输入、输出取值关系,能直观地反映电路的逻辑功能。根据化简表达式列出真值表。

4.功能评述

概括出对电路逻辑功能的文字描述,并对原电路的设计方案进行评定,必要时提出改进意见和改进方案。

三、组合逻辑电路设计

根据问题要求完成的逻辑功能,求出在特定条件下实现给定功能的逻辑电路,称为逻辑设计,又叫做逻辑综合。

一般步骤:

1.建立给定问题的逻辑描述

2.求出逻辑函数最简表达式

3.选择器件并对表达式变换

4.画出逻辑电路图

四、组合逻辑电路中的险象

1.险象的判断

判断电路是否可能产生险象的方法有代数法和卡诺图法。

2.险象的消除

(1)用增加冗余项的方法消除险象

(2)增加惯性延时环节

(3)选通法

五、常用中规模组合逻辑器件

1.用4位二进制并行加法器设计一个4位二进制并行加法/减法器。

2.译码器和编码器

译码器的功能是对具有特定含义的输入代码进行“翻译”,将其转换成相应的输出信号。译码器的种类很多,常见的有二进制译码器、二-十进制译码器和数字显示译码器等。主要讨论二进制译码器。

(1)二进制译码器

二进制译码器:能将n个输入变量变换成2n个输出函数,且输出函数与输入变量构成的最小项具有对应关系的一种多输出组合逻辑电路。

(2)译码器的应用举例(用译码器74138和适当的与非门实现全减器的功能。)

3.多路选择器和多路分配器

多路选择器的功能和应用

第五章触发器

掌握基本R-S触发器、简单钟控触发器、主从触发器和边沿触发器的特性。

第六章时序逻辑电路

一、时序逻辑电路与组合逻辑电路的区别

二、同步和异步时序电路的区别

三、同步时序逻辑电路的分析方法

篇3:数字逻辑上机考试系统设计

数字逻辑作为计算机专业基础课,培养学生逻辑思维及实践动手能力,课程主要包括线路分析及设计两部分内容[1]。其中的线路设计部分需要利用各种器件绘制线路,同时还需生成有向图及表格,显然,现有的考试系统并不能满足课程考试要求。虽然上述内容都可以通过Visio等软件生成[2],但由于软件庞大复杂,学生操作熟练程度不同,易在有限的考试时间内影响答题。其他如电工电子等课程中也都存在类似情况,由此可见,增加适合课程内容、简便易行的图形输入功能,能进一步拓宽上机考试系统的应用范围,提高工作效率。

1 系统功能

数字逻辑的线路设计图包括各种触发器、逻辑门、可编程阵列及各器件间的连线。在实际应用中线路图多数横向排列,整个线路左侧为输入端,右侧为输出端,如图1所示。为简化操作,本系统采用此种排列方式。

为便于操作,将每种器件以快捷按钮的形式列在绘图区域上方,如图2所示。选择器件拖放至相应位置,根据题目要求调整器件形态及大小,使线路布局协调合理。

根据上述要求,系统实现功能如下:

(1)器件能平移、缩放,无需旋转

(2)根据器件类型改变端口数量及规模。如果是逻辑门,能改变其输入端口数量;如果是可编程阵列,能改变输入及输入端数量、阵列规模。

(3)图2中空白处可进行文本输入,用于标识器件及其端口名称。

同Visio等专业绘图软件相比,本系统具有以下优点:

1)各种器件一目了然,操作简便快捷,学生更能专心答题。

2)Visio等软件以图片或其他指定格式保存文件,数据量大;系统以文本形式保留记录控件形态的一些数据,数据量很小,无论是阅卷时显示绘图还是后期的试卷管理,都更加有利。

2 设计原理

系统采用模块化设计思想,每种器件对应一个类[3]。由于结构特点及行为方式不同,连线另由一个类实现。添加一个器件或一根连线,就由对应的类生成一个对象,实现线路的绘制工作。

2.1 器件类的结构

器件类的结构如图3所示。

绘图过程中,系统不断地检测鼠标位置及状态。当鼠标点击快捷按钮,拖动并最后释放时,由相应的器件类生成对象,将此时鼠标的坐标赋予对象的位置。对象以此为中心,按照其余属性的默认值绘制器件。

例如,添加一个具有4个输入端的与门,操作步骤如下:

(1)点击“与门”的快捷按钮,拖至线路图的适当位置,生成一个具有两个输入端的与门。

(2)在该器件上单击鼠标右键,弹出窗口输入端口数4,确定后关闭窗口。

(3)点击拖动器件边线,改变大小使之与周围器件匹配。

2.2 线路连线

系统的线路连线以直线段表示,类的结构相对简单,如图4所示。

将多条直线段相连可构成图1中的折线形式,虽然这种实现方式需要系统管理更多的对象,但易于操作。Visio将折线作为一个整体,在调整各线段方向位置时,操作不当可能会突然出现方向相反等意外情况。显然,在考试这种紧张的气氛中操作简单易行是更重要的。

系统同时也借鉴了Visio在连线操作上的优点,即吸附能力。在绘图区内,如果没有点击器件快捷按钮,系统会判断鼠标处于划线状态,当鼠标距离某个器件端口或其他连线10个像素范围内时,系统会自动作出提示,用户只需点击确定即可,进一步提高便利性。

3 实践

系统在实验室环境内运行通过,单机配置为酷睿i3处理器,4G内存,Win7操作系统。考试期间系统运行流畅,回收试卷时120台机器同时上传数据至服务器,未出现拥堵现象。213份试卷压缩后仅为100M,保存连续4年科目试卷也不存在数据压力。

4 结语

系统在满足当前上级考试基本功能基础上,实现图形输入功能,一方面提高学生答题效率,另一方面也能提高了教师工作效率。系统具有良好的应用价值,同时也为其他类似科目的上级考试提供了一定的示范效应,在拓宽上机考试范围上有着积极意义。

摘要:设计具有图形输入功能的上机考试系统,将数字逻辑课程中的各种器件以快捷按钮形式列出。系统以模块化思想设计、操作简便易行、数据量小、便于试卷管理。系统具有良好应用价值,也为其他类似课程的机考提供有益的参考。

关键词:数字逻辑,Visio软件,上机考试系统

参考文献

[1]欧阳星明.数字逻辑设计[M].北京:人民邮电出版社,2011:74-76.

[2]杨继萍,夏丽华.Visio 2013图形设计标准教程[M].北京:清华大学出版社,2014:21-48.

篇4:《数字逻辑电路》教材改革浅析

一、压缩了传统内容,增加了新知识

劳动版《数字逻辑电路》第三版(以后简称三版教材)有8章,153页,包含18个实验。第1章删除了学生难以理解的RC瞬态过程,这个内容包括电容的充电和放电,时间常数与瞬态过程快慢的关系,积分电路、微分电路、引导电路等一度作为重点来介绍,虽然很重要,但也是难点,学生不易掌握,造成了课程刚开始学生就产生畏难心理,不利于后续章节的教学,删去这部分内容后,减小了教学难度,知识结构衔接更加合理,可以说为学生扫除了一个学习的障碍。在介绍逻辑门电路时,侧重集成TTL、集成MOS门电路,把分立MOS门电路略去,增加了门电路的应用,既压缩了篇幅,又拓宽了知识面。在讲解组合逻辑电路时,突出了组合逻辑电路的分析和设计,增加了新知识——只读存储器(ROM),这是数字电路的存储单元,是数字系统的重要组成部分,把组合逻辑电路的竞争冒险单独作为一节来讲,解决了学生在设计组合逻辑电路时,因为化简逻辑函数而导致的逻辑错误问题,而用数据选择器实现逻辑函数以及用译码器构成数据分配器,对开阔学生视野很有帮助。在介绍触发器时,沿着触发方式这个主线,不在按TTL和MOS来分别叙述,把主从RS和主从JK放在一节,删除了六门触发器,而强调了触发器的分类和转换,这部分内容改进较多,把知识点重新整合,既增加了内容,又减少了篇幅,为学生学习触发器的应用提供了方便,又便于老师教学,可以说是三版教材的一大亮点。对于时序逻辑电路的改进主要体现在设计方面,过去不讲时序逻辑电路的设计,增添这个部分,虽然起到了拓宽知识面的作用,但是对技校学生来说,设计起来还是比较困难,笔者在教学中,把它作为选学内容处理,只有个别学生对时序逻辑电路设计感兴趣,提出相应的问题。数模和模数转换是沟通模拟电路和数字电路的桥梁,通常称为接口电路,在数字系统中应用日益广泛,三版教材对这个新内容单独在第7章进行了详细的分析,解决了模拟信号的数字化和数字信号模拟化问题,为数字电路处理模拟信号提供了依据。最后又专门新增加第8章来介绍数字集成电路的应用,分析了数字系统的组成,探讨了交通信号灯控制电路和数字式测速仪的设计、组装、与调试两个实例,为提高学生的动手能力和想象空间打下了坚实的基础。

二、突出了实训

三版教材一个突出的特点就是大量增加了实验内容,从二版的7个实验增加到18个,通过实验,学生可以很好地巩固所学的理论知识,开阔视野,发现问题,探索解决的办法,真正做到理论和实践相结合。在带领学生实验实训的过程中,笔者主要是启发学生扩大知识面,要求学生自己动手,从理论出发,结合具体电路,引导学生更全面地理解数字电路的内涵,独立完成数字电路的设计、安装与调试,并能够分析可能出现的各种问题。从数字实验仪器的使用,到各种门电路的特性测试,用不同的门电路实现逻辑功能,设计与调试数字电路,各种组合逻辑电路的结构和应用,时序逻辑电路的应用与调试,再到A/D和D/A转换实验、数字电路的综合应用等,学生们产生了强烈的求知欲望和探究心理,上实验课的积极性空前高涨。有时是单个实验,有时是一个知识模块作为一个课题,突出了技工教育强调实际工作能力的特点,理论紧密联系实际,符合学生的认知规律,通过实例,让学生学会实验仪器的使用,用数字电路器件构成简单的数字系统,最后设计制作出一个复杂的实用型数字系统,使学生全面掌握该课程的学习规律,并着重培养学生的自学能力,为今后继续学习打下良好的基础。

三版教材配套的《数字逻辑电路第三版习题册》精选了大量的习题,题型丰富,难易适度,为学生学习和教师授课提供了方便,但也有个别习题逻辑不够严密,如第1章第2节第三大题第六小题,把下列码转换为十进制数第一题,(111 0100)8421BCD =( )10,笔者认为,少了一个0,应为(0111 0100)8421BCD =()10。希望电子类教材改革的步伐不断加快,推动职业技术教育全面快速发展。

篇5:数字逻辑和设计基础 期末复习题

F1&F2&Y0A0Y1A1Y2A2Y3Y4Y5Y6Y774LS138STaSTbSTcCBA1

1、解:分析此图,可知:F1=m0m1m3m4,F2=m4m5m6m7 化简过程:由卡诺图及公式化简均可,此处略 化简得:F1ACBC(2分)

F2A

2.已知逻辑函数: FABCABCABCABCABC,试用一片4选1数据选择器和门电路实现该逻辑函数,要求采用代数法,写出设计全过程,并画出电路图。(10分)

A1A0STD0D1D2D3Y

① 写出逻辑函数F的表达式(2分)

FABCABCABCABCABC =ABCAB(CC)ABCABC

=ABCABABCABC② 写出4选1数据选择器输出端逻辑函数Y的表达式(2分)

YA1A0D0A1A0D1A1A0D2A1A0D3

③令 AA(2分)

1、BA0,比较F和Y两式可得:D0CD11D2D3C

④ 根据上式画出的逻辑图。(4分)

ABCA1A0STD0D1D2D311YF

五、画出下列各触发器Q端的波形:(设Q n= 0)(10 分,每小题5 分)

1、已知JK触发器输入信号J和K、时钟脉冲CP、异步置位端RD和SD的波形如下图所示,试画出触发器输出端Q的波形,设初始状态为0。(5分)

CPSDJCPK1JC11KRDJRDKQ

2、下图由边沿D触发器构成的触发器电路,设其初始状态为0。输入信号如右

RDSDQSD图所示,试画出Q端的输出波形。(5分)

RDDCP1DC1SD1RDQQCPRDDQ

六、小规模时序逻辑电路设计(15分)1.分析下图所示电路。(15分)

要求: 1)、写出驱动方程、状态方程、输出方程;

2)、列出状态转换真值表,画出状态转换图; 3)、说明电路的逻辑功能及启动特性。

Q0Q1Q21D1D1D&&YCP

解:1.写方程式

驱动方程nD0Q2nD1Q0nDQ12状态方程nQ0n1Q2n1nQ1Q0n1nQQ12输出方程n2n0 Y=QQ

2.列状态转换真值表

CP脉冲序列012345nQ2Q1n00111010Q0n01110001Q2n+1Q1n+***01Q0n+111100010Y***1无效状态

3.画状态转换图 ******11

电路为同步模6计数器,不能自启动

74LS161采用置数法实现十进制计数器的逻辑图。(12分)

1.由CT74LS151数据选择器和非门组成的逻辑电路如图所示,①简述数据选择器ST端的作用;②给出输出逻辑函数的表达式并化解为最简与或表达式。(10分)

1D0D1D2D3D4D5D6D7STABCA2A1A0F=YF=Y

解:输出逻辑表达式为:YABCABCABCABC(4分)

化简得:YACAC(4分))

2.采用3—8线译码器74LS138和门电路设计下列组合逻辑电路,使其输出输出逻辑函数为:F1ABBC,F2出最终的逻辑电路图。(12分

1、F1ABBCABCABCABCm2m6m7Y2Y6Y7

ABABC。写出设计过程,并画F2ABABCABCABCABCm0m1m6Y0Y1Y6

逻辑图如下: F2&F1&Y0A0Y1A1Y2A2Y3Y4Y5Y6Y774LS138STaSTbSTc

F1正确(3分)、F2正确(3分)、F1逻辑图正确(2分)、F2逻辑图正确(2分)CBA1五.画出下列各触发器Q端的波形:(设Q n= 0)(共10分,每题5分)

1、如图所示,触发器为上边沿触发的D触发器,设其初始状态为0。输入信号如右图所示,试画出Q端的输出波形。(5分)

CPRDRD1234567A&CP1DC1SDQQASDQ

2、已知JK触发器输入信号J和K、时钟脉冲CP的波形如下图所示,试画出触发器输出端Q的波形,设初始状态为0。(5分)

1JCPK1JC11K11JCPK1JC11K1RDKQ

CPSDQQRDJKQCPSDQJ 试分析下图所示时序逻辑电路(12分)

要求:(1)写出电路的输出方程、驱动方程、状态方程;

(2)列出状态转移表;

(3)说明电路的逻辑功能并判断该电路能否自启动。

11JC1FF01KQQ01JQQ1&1JQQ2C1FF21K&YCPC1FF11K

解:1)写方程式

nn输出方程:Y=Q2Q1

J01 K0Q1nnn驱动方程:J1Q0 K1Q2nnnJQQ KQ20120Q0n1Q0n+Q1nnnn状态方程:Q1n1Q0 Q1n+Q2Q1n1nnQ2Q0nQ1nQ2+Q0nQ2

2)列状态转换真值表

CP脉冲序列012nQ2Q1n11010010Q0n10110100Q2n+1Q1n+***10Q0n+101101111Y001000010111无效状态0001

3)此电路为同步三进制计数器,能自启动

2.采用异步清零法,使用74LS161设计一个模11的计数器,要求写出二进制代码,反馈清零函数和画出逻辑电路图。

篇6:数字逻辑课程设计-数字钟

《数字逻辑》课程报告

课程名称:数字钟

姓名: 专业班级: 指导教师:

2013/05/31

1.数字钟的组成及基本原理

图A 如图A所示,数字钟电路系统由主体电路和扩展电路两大部分组成。其中主体电路完成数字钟的基本功能,即:能准确计时,以数字形式显示小时、分秒的时间;小时计时以“24进1”,分和秒的计时以“60进1”;具有校正时和分的功能。扩展电路完成数字钟的扩展功能。

1.1系统的工作原理:

振荡器产生稳定的高频脉冲信号,作为数字中的时间基准,然后经分频器输出标准秒脉冲。秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。计数器的输出分别经译码器送显示器显示,计时出现误差时可以进行校时、校分。各扩展电路必须在主体电路正常运行的情况下才能进行功能扩展。

2.各单元电路的基本原理

2.1振荡器电路

振荡器是数字钟的核心。振荡器的稳定度及频率的精确度决定了数字钟的准确程度。一般来说,振荡器的频率越高,计时精度越高,但耗电量增大且分频级数多。一般有如下几种方案构成振荡器电路:

方案1:如图1-1所示为电子手表集成电路中的晶体振荡器电路,常取晶振的频

率为32768Hz,因其内部有15级2分频集成电路,所以输出端正好可得

到1Hz的标准脉冲。该方案优点是走时准确及稳定,集成度高,所需芯

片少。方案2:由集成电路定时器555与RC组成的多谐振器,电路图如图1-2。输出频

率为1000Hz。该方案的优点是起振容易,振荡周期调节范围广,缺点是

频率稳定性差,精度低,所以在本实验中不宜使用。

方案3:由集成逻辑门与RC组成的对称式多谐振荡器,可以输出频率为1MHz的脉冲。该方案的优点是精度高,集成简单,所需元器件少。

由于此次设计所提供的芯片主要是74ls00且方案三精度较高,连线简单所以选用方案三。

2-1

2-2 2.2分频器电路

分频器的功能主要有两个:一是产生标准秒脉冲信号,二是提供功能扩展电路所需要的信号。选用中规模集成芯片74ls90可以完成上述功能,用6个级联即可以得到1Hz的脉冲,该方案原理简单,易于调试,且可以得到各种频率的脉冲,适合功能的扩展。因此此次设计选用该方案。

2.3计数器电路

分和秒都是模M=60的计数器,它们的个位都是十进制计数器,而十位则是六进制计数器。时计数器是一个“24翻1”的特殊进制计数器,即当数字钟的计时器运行到23时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为00时00分00秒,实现日常生活中习惯用的计时规律。修改由于都不多于十进制,则可以用6个中规模集成电路计数器74ls90来实 现计数。该方案功能灵活,芯片统一便于调试与组装。

2.4校时校分电路

当数字钟接通电源或者计时出现误差时,均需要校正时间。对校时电路的要求是,在进行小时校正时不影响分和秒的计时,同理,在进行分校正时不影响时和秒的正常计数。其实现方法可以是将校时校分信号直接加到分、时计数器上,因此校时校分电路实际上是一个输入信号的转换开关。以下是几种方案:

方案1:简单的手动开关,如图1-4-1所示,正常工作时,s指向A,校时时只

需使s指向B。这种电路简单,但是开关的通断产生随机的机械抖动信

号,不易控制其稳定性。

方案2:如图1-4-2所示,用三个与非和一个可调电位实现信号的转换,当正常

工作时,电位器动滑头指向B,这时CP=C0;当需要校时,动滑头指向A,此时CP等于秒脉冲,两个电容可以滤去滑动中产生的干扰信号。

方案3:三个与非门和基本RS触发器。基本RS触发器可以完全消除开关的机械

抖动,是最佳的一种校时校分电路。

1-4-1

2-4-2

2.5扩展电路

随着技术的发展,这种具有基本功能的数字钟并不能满足人们的要求,所以通常要根据不同人的需要进行功能的扩展,下面按照人们常用到的数字钟功能提供了几种扩展电路方案:

方案1:仿广播电台整点报时电路。要求是:每当数字钟计时到整点(或快到整

点时)发出音响,通常按照4低音1高音的顺序发出间断声响,一最后

一声高音结束的时刻为整点时刻。

方案2:定时控制电路。定时控制电路可以使数字钟在规定的时刻发出信号,或

驱动音响电路进行“闹时”;或控制某装置电源的接通或断开实现定时控

制。具体电路图见图1-6-1 方案3:报整点时数电路。功能是:每当数字钟计时到整点时发出声响,且几点

响几声。实现这一功能的电路要经过三个阶段的工作:分进位脉冲到来

时小时计数器加1;报时计数器应记录此时的小时数;报时计数器开始

做减法计数,每减一个脉冲,音频电路鸣叫一声,直到计数器的值为零。

具体电路如图1-6-2。此方案较为复杂。

由于材料有限,本次设计选用接法较为简单但功能实用的方案1

2-6-1 闹时电路

2-6-2 报整点时数电路

3、具体电路及参数计算

3.1振荡器

选用由集成逻辑门与RC组成的时钟脉冲源振荡器,可以输出频率为1MHz的脉冲。具体方案电路如下图3-1

3-1 对称式多谐振荡器

3.2分频器

本设计采用6片74ls90级联成610分频电路得到1Hz频率脉冲,且可以得到用于扩展电路所需要的各种频率。具体接线图如下图2-2

3-2 分频电路

3.3时分秒计数器

选用6片74ls90来实现计数功能,其中分个位、秒个位及时个位是十进制,分十位和秒十位是六进制,时十位只能显示0、1、2三个数字。如图2-3-1。分计时和秒计时中当Q1、Q2全为1时,R01、R02均为高,计时器清零实现60进制。如图2-3-2,时计数中当十位Q1和个位Q2均为1时,十位个位上R01、R02 全为高,计时器清零实现24进制。

3-3-1 二十四进制计数器

3-3-2 六十进制计数器

3.4译码显示电路

本设计使用BS201和CD4511配套使用实现译码显示功能。下图为一个一码显示的配套电路,本次设计中需使用6套来显示我们所需要观察到的数字。

译码显示电路

3.5校时校分电路

本次设计采用方案3,用三个与非门和基本RS触发器来实现校分/时功能。其中基本RS触发器可以完全消除开关的机械抖动。具体电路如图3-5

3-5 校时校分电路

3.6整点报时电路

仿电台整点报时要求在快到整点时按4低音1高音的顺序发出间断声响,一最后一声高音结束的时刻为整点时刻。设4声低音(采用50HZ分别发生在59分51秒、53秒、55秒、57秒、59秒,它们的持续时间为1S。由此可见,分十位和个位的计数器的状态分别为秒十位计数器的状态为ABCDM2QQQQ=0101,ABCDM1QQQQ=1001,秒十位计数器的状态为ABCDS2 QQQQ=0101。秒个位计数器DS1Q的状态可用来控制500HZ和50HZ 的音频。表2-6-1列出了秒各位计数器的状态,由表可得只有当CM2AM2QQ=11,DM1AM1QQ=11,CS2AS2QQ=11及AS1Q=1时,音响电路才能工作。音响电路中采用射级输出端,推动8欧德蜂鸣器,三极管基极串接1K欧限流电阻,是为了防止电流过大损坏蜂鸣器,三极管选用高频功率管即可,本设计使用8085NPN型三极管,具有方向特性可以节约一个非门。整点报时的电路图如图3-6

篇7:数字逻辑感想

本学期我们开设了数字逻辑实验课,在实验课中,我学到了很多在平时的学习中学习不到的东西。为期六周的的实验就要画上一个圆满的句号了,回顾这六周的学习,感觉十分的充实,通过亲自动手,使我进一步了解了数字逻辑实验的基本过程和基本方法,为我今后的学习奠定了良好的实验基础。

首先,在对所学的理论课而言,实验给了我们一个很好的把理论应用到实践的平台,让我们能够很好的把书本知识转化到实际能力,提高了对于理论知识的理解,认识和掌握。其次,对于个人能力而言,实验很好的解决了我们实践能力不足且得不到很好锻炼机会的矛盾,通过实验,提高了自身的实践能力和思考能力,并且能够通过实验很好解决自己对于理论的学习中存在的一些知识盲点。

回顾六个实验的过程,总的来说收获还是很多的。最直接的收获是提高了实验中的基本操作能力,并对EDA仪器有了了解,并掌握了基本的操作。但感到更重要的收获是培养了自己对实验的兴趣。还有,就是切身的体验到了严谨的实验态度是何等的重要。

篇8:数字逻辑实验心得

第一次做的数字逻辑实验是全加器,那时什么都还不太了解,听老师讲解完了之后也还不知道从何下手,看到前面的人都开始着手做了,心里很着急可就是毫无头绪„„

老师说要复制一些文件辅助我们做实验(例如:实验报告模板、实验操作步骤、引脚等与实验有关的文件),还让我们先画原理图。这时,关于实验要做什么心里才有了一个模糊的框架。看到别人在拷贝文件自己又没有U盘只好等着借别人的用,当然在等的时候我也画完了全加器的原理图。

拷了文件之后有了实验操作步骤才开始慢慢跟着步骤操作,如此很不熟练的开始了第一次实验。中途仿真编译等了好久终于激动的看到进度显示99%的时候突然就“无法响应”了!失落之余也只能关了重新做„„时间很快就过去了,轮到第二组,实验还是没有完成。花了一些时间终于下载好了结果也正确了,但由于是第二组实验的时间所以只能等下次再交给老师检查。但是心里还是很开心!到最后通过自己的努力最终把第一个实验完成了,这是一个好的开始!

但是我没想到的是,后来做第二三四个实验的时候都来不及给老师检查这第一个实验。不过开心的是做完第五个实验的时候终于把第一次实验的尾收了,突然觉得原来认为很难做的第一个实验现在看来是那么的简单!

真的发现实验的次数多了,熟练了,知道自己要做的是什么,明确了目标,了解了方向,其实也没有想象中那么困难。

实验二心得

第二次做的实验是编码及译码器的应用,相对于第一次的实验难上了好几个层次。而且之前记得的操作步骤现在几乎忘了,只能从零开始,看一眼操作步骤做一步。

在老师的指导下勉强把原理图画出来了,但是编译的时候还是发现了很多错误甚至少了一些器件。在慢慢摸索的过程中也渐渐懂得了其中的原理。该选什么器件?线怎么连?要选择那些引脚?等等。虽然到最后结束两小时之后还是没能完成本次实验,但是心里有一种成就感,因为我终于开始理解了!毕竟这个实验对于我来说还是有一定挑战的。

这一次把做的工程文件都上传到服务器上了,所以先对于第一次实验就方便多了,不用再因为换机子的问题到处借U盘了。我发现想安安心心的做好实验选择一台好的电脑、好的下载电路板和好的数据线是很关键的,所以以后做实验一定要早点到!

两次的实验都没能拿到实验报告让我非常着急,甚至担心第三次实验又会像这次一样。但是这个想法在下一秒马上被否决,我对自己有信心,有一个声音告诉我,我一定能完成实验!

两次实验都因为准备不足导致时间不足而没完成实验,所以下次实验一定要先做好充分的准备,比如先画好原理图,熟记操作步骤等。

最终第二次实验也是在做完第五次实验才完成,所以第二次实验成为了最后一个被检测并得到实验报告的实验。而且中途还出现了一些问题,但被我解决了,所以结果是美好的!

实验三心得

第三个实验要做的是用4位全加器74LS283实现由8421码到余3码的转换。这个实验虽然比第二个实验难一些,但是经过两次实验后我已经能较熟练的运用软件了,而且之前也把原理图大概画出来了,经过老师的讲解很快就准确的做好原理图,这次选择的电脑也运行得很快,又不用进行波形仿真的操作,一个小时没有就完成了,但是在等下载电路板和数据线这里花了大部分时间。这一次顺利的完成了实验,拿到了第一张实验报告纸!

介于前两次实验都遇到了重重阻碍,这一次如此顺利的完成实验让我有点受宠若惊,有点经历风雨见彩虹的感觉,也超有成就感!也让我有了更大的信心,只要用心努力做就一定能顺利完成实验!但是还是没有剩余的时间来完成实验一二,眼看只有两次机会了说没有压力是不可能的,但是没有压力哪来的动力呢?有了成功的经验以后操作起来就更加顺了,一定可以把实验完成的!

这一次能这么顺利的完成实验,准备工作是关键。预前画好原理图,选择电脑、下载电路板,由于借U盘不方便我还买了U盘,一切准备就绪接下来做实验就简单多了。相信只要按照这种方法进行实验一定会像这次一样收到很好的效果!

我也相信随着熟练度的提高知识的积累速度也一定会提上来,从而腾出时间来完成实验一和实验二。

实验四心得

此次实验旨在学习用触发器和门电路设计时序电路,熟练掌握D触发器和JK触发器的特性,能够灵活,实现用D触发器 设计四位移位寄存器。

现在的我已经熟练掌握了操作步骤了,也能较快的画出原理图,有了之前的经验,这次实验起来也没有之前那般困难了。也能处理出现的一些问题了,但是在最后下载那一步的时候还是花了很多时间改错,换机子、换下载电路板、换数据线问题依然存在,后来让一个同学检查了一下才知道原来下载的器件选错了!大叹一声,本来简单的一个错误可是由于不了解其中的所以然就是弄不出正确的结果,这让我想到了一句话:内行人赚外行人的钱。真的就是这样!懂得就很简单不懂就无法继续操作,哪怕只是一个小小的错误。

这一次因为这个原因又没有多余的时间做实验一二,但幸运的是实验四成功通过!经过这样多次出现问题解决问题,操作起来也更加的得心应手了!哪条线链接错误,哪个引脚设置错误,也能很快的找到了,动作开始渐渐完善起来。

还有一次实验机会了,要一次做完三个实验来得及吗?压力更大了,但是就算不能全部完成也要尽全力在有限的实验时间里完成几个实验,而且我有预感下次一定能顺利做完!因为我自认为不会在有什么错误什么问题能让我停滞不前了。

最后一次全力以赴!

我相信自己一定可以完成实验!

实验五心得

这次实验目的是学习用集成触发器设计时序电路。实验是设计一个60进制计数器,用一个数码管显示,用四个二选一电路实现个位和十位的切换。这次实验进行的很顺利,在快速完成第五个实验之后又顺利的做完了第一个实验的收尾工作。在第二组实验的时候我也完成了我的第二个实验。所以结果就是如我所愿的将五个实验全部完成!实实在在的幸福感此刻还深深的感受着!

不得不说只有努力后坚持下来了,才会成功!

已经做了有四次数字逻辑实验,每一次都有新的收获,在做实验的过程中,由想象中的接触到实物,经过自己的思考,动手,牢牢的记在了心里。对一些问题有了自己的想法。在作实验的时候,带着思考与问题去做实验,在做的过程中,问题会不断的出现,有些时候会发现真的好奇妙,动手能力在无形中提高。实验总结经验如下: 1早点去,找台好装置。

2做实验时一定要严格按照要求操作避免走弯路。3实验时别停下来,灵感稍纵即逝。

篇9:数字逻辑发展历程

数字电路是以二值数字逻辑为基础的,其工作信号是离散的数字信号。电路中的电子晶体管工作于开关状态,时而导通,时而截止。数字电路的发展与模拟电路一样经历了由电子管、半导体分立器件到集成电路等几个时代。但其发展比模拟电路发展的更快。

从60年代开始,数字集成器件以双极型工艺制成了小规模逻辑器件。随后发展到中规模逻辑器件;70年代末,微处理器的出现,使数字集成电路的性能产生质的飞跃.数字集成器件所用的材料以硅材料为主,在高速电路中,也使用化合物半导体材料,例如砷化镓等。

逻辑门是数字电路中一种重要的逻辑单元电路。TTL逻辑门电路问世较早,其工艺经过不断改进,至今仍为主要的基本逻辑器件之一。随着CMOS工艺的发展,TTL的主导地位受到了动摇,有被CMOS器件所取代的趋势。

篇10:个人简历要点:逻辑清晰

求职者在求职之前通常会准备好个人简历,投递给用人单位后被招聘官认可,就能获得面试的机会。一份个人简历如何来赢得对方的认可呢?不仅要了解企业公司是如何筛选简历的,还要能让简历更具有可读性,求职者所编写的个人简历要逻辑清晰,语言通顺。

一者,描述内容与求职意向的关系

在个人简历中逻辑的起点就在于:你所应聘的求职意向与简历的描述内容关系度。例如求职者求职的职位是财政方面的职位,在简历所描写的内容中却并不是相关财政方面,如教育背景中的专业与求职意向不符合、工作经历的内容与求职意向不符合等等,这就非常不符合逻辑了。

二者,信息的逻辑性

在编写个人简历的原则上一直强调要真实不能参加,招聘官从简历中的信息逻辑性就能够判断简历是否真实。简历中的相关信息描写要与求职者的身份符合,比如说,应届毕业生说自己有五年以上的工作经验、职业技术类人才说自己参加某项科研项目等等,这明显就不符合逻辑。

三者,排版方面的逻辑性

篇11:数字逻辑电路小论文

摘要:随着数字逻辑技术的发展,数字逻辑电路也逐步应用于我们生活的方方面面。在数字机顶盒,数字电冰箱,数字洗衣机等领域均有所体现。本文将大体介绍数字逻辑电路的发展历程、分类方法、数值、用途与特点,最后详细介绍数字逻辑电路的实际应用。

一.

数字电路的发展历程与分类方法

数字电路的发展:数字电路的发展与模拟电路一样经历了由电子管、半导体分立器件到集成电路等几个时代。但其发展比模拟电路发展的更快。从60年代开始,数字集成器件以双极型工艺制成了小规模逻辑器件。随后发展到中规模逻辑器件;70年代末,微处理器的出现,使数字集成电路的性能产生质的飞跃。逻辑门是数字电路中一种重要的逻辑单元电路。TTL逻辑门电路问世较早,其工艺经过不断改进,至今仍为主要的基本逻辑器件之一。随着CMOS工艺的发展,TTL的主导地位受到了动摇,有被CMOS器件所取代的趋势。近年来,可编程逻辑器件PLD特别是现场可编程门阵列FPGA的飞速进步,使数字电子技术开创了新局面,不仅规模大,而且将硬件与软件相结合,使器件的功能更加完善,使用更灵活。数字逻辑电路分类:

1、按功能来分:

(1)组合逻辑电路:简称组合电路,它由最基本的的逻辑门电路组合而成。特点是:输出值只与当时的输入值有关,即输出惟一地由当时的输入值决定。电路没有记忆功能,输出状态随着输入状态的变化而变化,类似于电阻性电路,如加法器、译码器、编码器、数据选择器等都属于此类。

(2)时序逻辑电路:简称时序电路,它是由最基本的逻辑门电路加上反馈逻辑回路或器件组合而成的电路,与组合电路最本质的区别在于时序电路具有记忆功能。时序电路的特点是:输出不仅取决于当时的输入值,而且还与电路过去的状态有关。它类似于含储能元件的电感或电容的电路,如触发器、锁存器、计数器、移位寄存器、储存器等电路都是时序电路的典型器件。

2、按电路有无集成元器件来

可分为分立元件数字电路和集成数字电路。

3、按集成电路的集成度进行分类 可分为小规模集成数字电路(SSI)、中规模集成数字电路(MSI)、大规模集成数字电路(LSI)和超大规模集成数字电路(VLSI)。

4、按构成电路的半导体器件来分类 可分为双极型数字电路和单极型数字电路。

二.数字逻辑电路的用途和特点

数字电子电路中的后起之秀是数字逻辑电路。把它叫做数字电路是因为电路中传递的虽然也是脉冲,但这些脉冲是用来表示二进制数码的,例如用高电平表示“ 1 ”,低电平表示“ 0 ”。声音图像文字等信息经过数字化处理后变成了一串串电脉冲,它们被称为数字信号。能处理数字信号的电路就称为数字电路。

这种电路同时又被叫做逻辑电路,那是因为电路中的“ 1 ”和“ 0 ”还具有逻辑意义,例如逻辑“ 1 ”和逻辑“ 0 ”可以分别表示电路的接通和断开、事件的是和否、逻辑推理的真和假等等。电路的输出和输入之间是一种逻辑关系。这种电路除了能进行二进制算术运算外还能完成逻辑运算和具有逻辑推理能力,所以才把它叫做逻辑电路。

由于数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。

数字逻辑电路的第一个特点是为了突出“逻辑”两个字,使用的是独特的图形符号。数字逻辑电路中有门电路和触发器两种基本单元电路,它们都是以晶体管和电阻等元件组成的,但在逻辑电路中我们只用几个简化了的图形符号去表示它们,而不画出它们的具体电路,也不管它们使用多高电压,是 TTL 电路还是 CMOS 电路等等。按逻辑功能要求把这些图形符号组合起来画成的图就是逻辑电路图,它完全不同于一般的放大振荡或脉冲电路图。

数字电路中有关信息是包含在 0 和 1 的数字组合内的,所以只要电路能明显地区分开 0 和 1,0 和 1 的组合关系没有破坏就行,脉冲波形的好坏我们是不大理会的。所以数字逻辑电路的第二个特点是我们主要关心它能完成什么样的逻辑功能,较少考虑它的电气参数性能等问题。也因为这个原因,数字逻辑电路中使用了一些特殊的表达方法如真值表、特征方程等,还使用一些特殊的分析工具如逻辑代数、卡诺图等等,这些也都与放大振荡电路不同。

三.数字电路的数制

在我们的日常生活中常用的进制主要是十进制(因为我们有十个手指,所以十进制是比较合理的选择,用手指可以表示十个数字,0的概念直到很久以后才出现,所以是1-10而不是0-9)。例如:在早期设计的机械计算装置中,使用的不是二进制,而是十进制或者其他进制,利用齿轮的不同位置表示不同的数值,这种计算装置可能更加接近人类的思想方式。比如说一个计算设备有十个齿轮,它们级连起来,每一个齿轮有十格,小齿轮转一圈大齿轮走一格。这就是一个简单的十位十进制的数据表示设备了,可以表示0到999999999的数字。配合其他的一些机械设备,这样一个简单的基于齿轮的装置就可以实现简单的十进制加减法了。而在如今的信息化、数字社会,十进制不能满足人们的使用要求,从而出现了不同的进制,如我们常说的二进制、八进制、十六进制等

二进制是计算技术中广泛采用的一种数制。计算机运算基础采用二进制。电脑的基础是二进制,电子计算机出现以后,使用电子管来表示十种状态过于复杂,所以所有的电子计算机中只有两种基本的状态,开和关。也就是说,电子管的两种状态决定了以电子管为基础的电子计算机采用二进制来表示数字和数据。这种通过不同的位置上面不同的符号表示数值的方法就是进制表示方法。一个字是电脑中的基本存储单元,根据计算机字长的不同,字具有不同的位数,现代电脑的字长一般是32位的,也就是说,一个字的位数是32。字节是8位的数据单元,一个字节可以表示0-255的数据。对于32位字长的现代电脑,一个字等于4个字节,对于早期的16位的电脑,一个字等于2个字节。八进制的数较二进制的数书写方便,常应用在电子计算机的计算中。十六进制常用在单片机的编程里。数制应用领域范围之广,一时难以一一举例,未来的世界数字化,期待着。

四、数字逻辑设计在生活中的应用

随着数字机顶盒、数字高清电视和液晶平板电视的迅猛发展,日常消费电子产品中的数字视频解调接收器和视频图像处理信号接收前端的重要模块-模数转换器的应用越来越广泛,而且随着整机产品的功能和性能要求越来越高,功耗低面积小的数字视频片上系统(SoC)单芯片已经成为10位分辨率、多通道模数转换器的主要应用芯片,例如3通道采集RGB和YUV信号的视频模拟前端。但是由于片上系统单芯片集成了大量的模拟电路和数字逻辑电路,内部时钟频率也非常高,因此导致电路噪声偏大,影响模数转换器的性能。如何使模数转换器既拥有较强的抗干扰能力,同时达到低功耗的要求,越来越成为模拟集成电路研究的热点和难点。论文主要研究了兼容0.18um 1.8V标准数字逻辑CMOS工艺应用于数字视频领域的流水线模数转换器,通过matlab的分析和优化,建立了系统级的设计框架,然后根据系统需求的采样率、输出分辨率等要求计算出影响模数转换器性能参数的限制指标。再根据工艺参数和EDA工具设计出了晶体管级电路并进行了全电路性能仿真。最后设计了整个模数转换器和测试芯片的布局及版图,完成了整个芯片设计的全部流程。期间主要的研究成果和工作有以下几个方面:(1)一般流水线模数转换器常用的动态比较器需要从外部输入参考源与输入信号进行比较然后输出数字域的结果,而本文提出的无输入参考源动态比较器不需要在比较器之外引入参考源而是利用比较器输入对管的差别产生比较阈值,这样减轻了参考源驱动电路的负载,排除了外部电路对动态比较器组成的子模数转换器模块的干扰,另外减少了外部引入参考源走线的数量进而减小了硅面积。(2)论文设计的低功耗高速模数转换器使用了1.8V电源,为了减少开关电容电路采样的信号失真,提出了一种新型的开关栅增压电路,使得信号开关器件的栅电压与输入信号无关,保持开关的导通电阻是常数,而且在增压传输路径中的开关导通电阻也与信号无关,从而降低了信号的谐波失真,提高了电路的动态范围。此外,所有的NMOS开关电路的衬底始终接在电路的最低电平上,这样就使该电路可以在普通的0.18um 1.8V数字标准逻辑CMOS工艺上实现,从而降低了芯片制造成本。(3)虽然流水线模数转换器的冗余位数字校正(RSD)能够消除一定的误差,但是在低电压应用中,由于信号输入幅度相对较高,因此冗佘校正后的误差仍较大,为了保证整个模数转换器依然有良好的线性度和良好的信噪比,论文提出了内插冗余校正技术。该技术的原理是:由于根据系统定义的噪声限制指标和制造工厂提供的工艺匹配参数可以计算出第i级之后插入一级冗余校正级。因此内插冗余校正级可以把第i级的输出大于正常输入范围数倍之内的信号做为输入(主要是第1级到第i级累积的误差并被MDAC电路放大引起的)然后输出时校正到后级能接受的正常输入范围,这样就可以避免最终模数转换器输出钳位和饱和引起整个ADC的线性度和动态范围的下降。(4)为了兼容标准数字逻辑工艺,MDAC中没有使用线性度较高的MiM电容,而是选择了三明治式金属层间电容(stack capacitor),这需要通过仔细提取金属层间电容的寄生参数以确保电容的线性度能保证整个ADC的性能。(5)为了优化电路的功耗和面积,论文设计的流水线模数转换器采用了运算放大器复用技术,这样可以让相邻的两个MDAC共用一个运算放大器,有效地降低了功耗和面积。论文进行了两次硅实验,实验一是使用0.5um 2层多晶硅3层金属CMOS混合信号工艺实现了1MHz采样的10位流水线模数转换器电路,验证满足静态参数特性和动态参数性能的设计方法;实验二是使用0.18um 1.8V单层多晶硅6层金属标准逻辑工艺实现了100MHz采样的10位流水线模数转换器。在模数转换器的测试方面主要设计了高速电路应用的PCB板和整个测试平台环境搭建。实验一和实验二的DNL分别为0.71 LSB和0.47LSB;INL分别为0.8LSB和0.55LSB;实现的有效位(ENOB)分别为9.7位(1MHz采样)和9.3位(100MHz采样);芯片面积分别为1.7mm~2和0.98mm~2;功耗分别为45mW和63mW,其中实验二的功耗优质因子(FOM)和面积优质因子(FOM_A)分别为0.995pJ.V/Sa和1.55e-11mm~2/Sa,这两个指标达到了近几年收录在JSSC和ISSCC等国际核心刊物的流水线模数转换器的研究成果,能够实现低功耗低硅面积数字视频及SoC嵌入式应用。

应用实例:

三路抢答器

图 1 是智力竞赛用的三路抢答器电路。裁判按下开关 SA4,触发器全部被置零,进入准备状态。这时 Q1 ~ Q3 均为 1,抢答灯不亮;门 1 和门 2 输出为 0,门 3 和门 4 组成的音频振荡器不振荡,扬声器无声。

竞赛开始,假定 1 号台抢先按下 SA1,触发器 C1 翻转成 Q1=1、Q1=0。于是: ① 门 2 输出为 1,振荡器振荡,扬声器发声; ②HL1 灯点亮; ③ 门 1 输出为 1,这时 2 号、3 号台再按开关也不起作用。裁判宣布竞赛结果后,再按一下 SA4,电路又进入准备状态。

彩灯追逐电路

上一篇:科学创新实验室讲解词下一篇:广东省自然科学基金项目财务管理办法