eda课程设计题目参考

2024-06-13

eda课程设计题目参考(精选9篇)

篇1:eda课程设计题目参考

EDA课程设计安排

要求:

1、至少完成一个设计任务,撰写课程设计报告,交A4纸打印稿,字数不限,左侧装订,单独答辩考核,提前完成可提前答辩。

2、课程设计过程:选题、查资料、设计、实验箱上实现(或者自己做实物)、写报告、答辩。

3、参考题目和要求附后,自己选定题目后查阅资料进行设计,需要实验验证的可以到实验室(1C06 325)进行实验验证。

4、可以自己选择参考课题以外的课题,但难度和工作量不能少于参考题目的。

5、辅导答疑:办公室(1C06 321),具体时间另行安排。

6、实验室开放时间:上午8:30到 下午3:00,与李帅老师联系,进实验室时一定要穿鞋套。

7、课程设计报告必须交,每人单独答辩,考核内容程序设计、仿真调试、硬件实现。

8、答辩时间另行通知。

电话:*** QQ:276432828 邮箱:wq.wangquan@163.com 办公室:1C06 321

EDA课程设计参考题目及要求

可自选其它题目

课程设计题一:八人抢答器

要求:

1、八人抢答逻辑:只有一个最先抢答有效。

2、在主持人控制下,10秒内抢答有效。

3、采用数码管显示抢答10秒倒计时,若有抢答直接结束,显示结果。

4、抢答结束后用数码管显示抢答结果:抢答有效人编号;若有异常(提前抢答,犯规),显示抢答人编号和E,本次抢答结束。

5、设主持人控制键、复位键。

控制键:启动抢答

复位键:系统复位

6、开始、正常结束、抢答结束、违规抢答采用声音提示。

课程设计题二:7人多数表决器

要求: 1、7人多数表决逻辑:多数通过。

2、在主持人控制下,10秒内表决有效。

3、采用数码管显示表决10秒倒计时。

4、表决结束后用发光二极管及数码管显示表决结果,数码管显示结果:通过、不通过,同意人数。

5、设主持人控制键、复位键。

控制键:启动表决

复位键:系统复位

6、表决开始、结束采用声音提示。

课程设计题三:日历和数字种

要求:

1、数字钟:时、分,小时用二十四进制显示,分钟用六十进制显示。

2、每月日历为30天,并显示星期几。

3、显示采用动态数码管显示方式或静态显示方式。

动态时显示八位:日期 星期几 小时 分钟

静态时显示四位:分别显示(日期30天 星期)和(小时 分钟)采用控制键切换或周期轮流显示。

4、具有清零的功能,且能够对小时、分钟进行调整(校时)。

5、具有整点、半点报时功能,整点用声音次数报时,半点特殊声音报时。

课程设计题四:LED彩灯控制器设计

要求:

1、至少10个发光管4种花样自动变换,循环往复。

2、彩灯花样变换的快慢节拍可以手动和自动方式控制,手动控制按钮按一次转换一次;自动控制方式每15秒变换一次,1分钟循环一遍。

3、系统复位清零开关。

课程设计题五:汽车尾灯控制器设计

要求:

1、汽车车尾左右两侧各有四盏灯:黄灯、红灯、雾灯、倒车照明灯,前面有照明灯(远光、近光)、黄灯、雾灯

2、白天正常行驶时照明灯都不亮,夜间行驶时两个前照明灯都亮。

3、左转弯时左侧黄灯闪亮(2Hz),右转弯时右侧黄灯闪亮。

4、汽车刹车时,两个红灯都亮。

5、能见度低或者夜间行驶时雾灯点亮。

6、倒车时红灯、倒车照明灯亮。

课程设计题六:秒表

要求:

1、计时范围:0~59分59.59秒,整数四位数和小数两位数显示;

2、计时精度10毫秒;

3、复位按钮,计时器清零,并做好下次计时准备;

4、可以对两个对象(A、B)计时,具有启/停控制;

3、设开始、停止A、停止B、显示A、显示B、复位按钮。

课程设计题七:交通灯控制器

要求:

1、红灯和绿灯相互转换时经过黄灯,黄灯闪烁三次(6秒);

2、主干道方向通行30秒,辅干道方向通行20秒,单独左转信号15秒;先直行信号,后左转信号。

3、设手动、自动、特殊情况三种方式。

4、特殊情况时所有路口红灯都亮,给120、110等通行。

5、自动时显示各种状态倒计时。

课程设计题八:篮球比赛计分器

要求:

1、两队各三位显示,共计六位数码显示;

2、设A、B队加、减分按键,复位键;

3、加减分实现1分、2分、3分加减。

课程设计题九:循环码编码器和译码器设计

要求:

1、单独设计一个8位循环码编码器;

2、单独设计一个8位循环码译码器;

3、两个模块连在一起工作。

课程设计题十:简易电子琴设计

要求:

1、设置三种音阶:低音、中音、高音控制按键;

2、设计1、2、3、4、5、6、7音(哆来咪法搜拉稀);

3、能弹奏一首简单的儿歌。

课程设计题十一:拔河游戏机设计

要求:

1、电路使用9个发光二极管表示拔河的“电子绳”,开机后只有中间一个发亮,此即拔河的中心点。游戏甲乙双方各持一个按钮,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。亮点移到任一方终端二极管,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

2、由裁判下达比赛开始命令后,甲乙双方才能输入信号,否则,输入信号无效。

3、用数码管显示获胜者的盘数,每次比赛结束自动给获胜方加分。

课程设计题十二:电子密码锁

要求:

1、在锁开的状态下输入密码,设置的密码共4位,用数据开关K1∼K10分别代表数字1、2、…、9、0,输入的密码用数码管显示,最后输入的密

码显示在最右边的数码管上,即每输入一位数,密码在数码管上的显示左移一位。可删除输入的数字,删除的是最后输入的数字,每删除一位,密码在数码管的显示右移一位,并在左边空出的位上补充“0”。

2、用一位输出电平的状态代表锁的开闭状态,绿色发光管指示。

3、为保证密码锁主人能打开密码锁,设置一个万能密码,在主人忘记密码时使用。

篇2:eda课程设计题目参考

1、设计一个可容纳 6 组(或 4 组)参赛的数字式抢答器,每组设一个按钮,供抢答使用。

2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。

3、设置一个主持人“复位”按钮。

4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出 2~3 秒的音响。

5、设置一个计分电路,每组开始预置 100 分,由主持人记分,答对一次加 10 分,答错一次减 10 分。

教学提示:

1、此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到 第一信号后将输入封锁,使其它组的抢答信号无效。

2、形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,用第一抢答信号推动扬 声器发出音响。

3、计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减 10 分,所以个位始终为零,只要十位、百位进行加/减运算即可。

设计二 数字钟

1、设计一个能显示1/10秒、秒、分、时的12小时数字钟。

2、熟练掌握各种计数器的使用。

3、能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。

4、能用低位的进位输出构成高位的计数脉冲。

教学提示:

1、时钟源使用频率为0.1Hz的连续脉冲。

2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。

3、时钟显示使用数码管显示。

4、“时显示”部分应注意12点后显示1点。

5、注意各部分的关系,由低位到高位逐级设计、调试。

设计三 数字频率计

1、设计一个能测量方波信号的频率的频率计。

2、测量的频率范围是0?999999Hz。

3、结果用十进制数显示。教学提示:

1、脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器 所累计的脉冲个数,T为产生N个脉冲所需的时间。所以,在1秒时间内计数器所记录的结果,就是被 测信号的频率。

2、被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。

3、再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信 号的选择可以控制,即量程可以改变。

4、时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时基信号的一个周期),输入 信号才通过主控门。

5、f=N/T,改变时基信号的周期T,即可得到不同的测频范围。

6、当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数 器和所有触发器复位,为新的一次采样做好准备。

7、改变量程时,小数点能自动移位。

设计四 拔河游戏机

1、设计一个能进行拔河游戏的电路。

2、电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。

3、游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按 一次,亮点移动一次。

4、亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使 亮点恢复到中心。

5、用数码管显示获胜者的盘数。

教学提示:

1、按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。

2、用可逆计数器的加、减计数输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码 器输出,使中间一只二极管发亮。

3、当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移。

4、由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止 计数。

5、将双方终端二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计数器进行 一次计数,这样得到双方取胜次数的显示。

6、设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零。

设计五 乒乓球比赛游戏机

1、设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。

2、用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED 指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。

3、当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。

4、一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。

5、设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。

6、甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球 才有效。

教学提示:

1、用双向移位寄存器的输出端控制LED显示来模拟乒乓球运动的轨迹,先点亮位于某一方的第1个LED,由 击球者通过按钮输入开关信号,实现移位方向的控制。

2、也可用计数译码方式实现乒乓球运动轨迹的模拟,如利用加/减计数器的2个时钟信号实现甲、乙双方 的击球,由表示球拍的按钮产生计数时钟,计数器的输出状态经译码驱动LED发亮。

3、任何时刻都保持一个LED发亮,若发亮的LED运动到对方的终点,但对方未能及时输入信号使其向相反 方向移动,即失去1分。

4、控制电路决定整个系统的协调动作,必须严格掌握各信号之间的关系。

设计六 交通信号灯控制器

1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

2、红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。

3、主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干 道亮绿灯时,主干道亮红灯。

4、主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。

5、在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。

教学提示:

1、主、支干道用传感器检测车辆到来情况,实验电路用逻辑开关代替。

2、选择 1HZ 时钟脉冲作为系统时钟。

3、45 秒、25 秒、5 秒定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,每当计满所 需时间,即向主控电路输出“时间到”信号,并使计数器清零,由主控电路启、闭三色信号灯或启动 另一计时电路。

4、主控电路是核心,这是一个时序电路,其输入信号为:车辆检测信号(A,B,;45 秒、25 秒、5 秒定 时信号(C,D,E),其输出状态控制相应的三色灯。主控电路可以由两个 JK 触发器和逻辑门构成,其输出经译码后,控制主干道三色灯 R、G、Y 和支干道三色灯 r、g、y。

设计七 电子密码锁

1、设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿 灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;

2、在锁的控制电路中储存一个可以修改的 4 位代码,当开锁按钮开关(可设置成 6 位至 8 位,其中实际 有效为 4 位,其余为虚设)的输入代码等于储存代码时,开锁;

3、从第一个按钮触动后的 5 秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并 由扬声器发出持续 20 秒的报警信号。教学提示:

1、该题的主要任务是产生一个开锁信号,而开锁信号的形成条件是,输入代码和已设密码相同。实现这种功能的电路构思有多种,例如,用两片8位锁存器,一片存入密码,另一片输入开锁的代码,通过比 较的方式,若两者相等,则形成开锁信号。

2、在产生开锁信号后,要求输出声、光信号,声音的产生由开锁信号触动扬声器工作,光信号由开锁信 号点亮 LED 指示灯;

3、用按钮开关的第一个动作信号触发一个 5 秒定时器,若 5 秒内无开锁信号产生,让扬声器发出特殊音响,以示警告,并输出一个信号推动 LED 不断闪烁。

设计八 彩灯控制器

1、设计一个彩灯控制器,使彩灯(LED 管)能连续发出四种以上不同的显示形式;

2、随着彩灯显示图案的变化,发出不同的音响声。教学提示: 教学提示

1、彩灯显示的不同形式可由不同进制计数器驱动 LED 显示完成;

2、音响由选择不同频率 CP 脉冲驱动扬声器形成。

设计九 脉冲按键电话显示器

1、设计一个具有 8 位显示的电话按键显示器;

2、能准确地反映按键数字;

3、显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;

4、*设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码;

5、*挂机 2 秒后或按熄灭按键,熄灭显示器显示。

教学提示:

1、利用中规模计数器的予置数功能可以实现不同的按键对应不同的数字;

2、设置一个计数器记录按键次数,从而实现数字显示的移位。

设计十 简易电子琴

1、设计一个简易电子琴;

2、利用实验箱的脉冲源产生 1,2,3,……共 7 个或 14 个音阶信号;

3、用指示灯显示节拍;

4、*能产生颤音效果。

教学提示:

1、各音阶信号由脉冲源经分频得到。

设计十一 出租车自动计费器

1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示 总金额,最大值为 99.99 元;

2、行车里程单价 1 元/公里,等候时间单价 0.5 元/10 分钟,起价 3 元(3 公里起价)均能通过人工输入。

3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每 100 个脉冲表示 1 公里,然后用 BCD 码比例乘法 器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是 1.0 元/公里,则脉冲当量为 0.01 元/脉冲。

4、用 LED 显示行驶公里数,两个数码管显示收费金额。

教学提示:

1、等候时间计费需将等候时间转换成脉冲个数,用每个脉冲表示的金额与脉冲数相乘即得计费数,例如 100 个脉冲表示 10 分钟,而 10 分钟收费 0.5 元,则脉冲当量为 0.05 元/脉冲,如果将脉冲当量设置成与行车里程计费相同(0.01 元/脉冲),则 10 分钟内的脉冲数应为 500 个。

2、用 LED 显示等候时间,两个数码管表示等候时间收费金额。

3、用加法器将几项收费相加,P=P1+P2+P3,4、P1 为起价,P2 为行车里程计费,P3 为等候时间计费,用两个数码管表示结果。

设计十二 洗衣机控制器

1、设计一个电子定时器,控制洗衣机作如下运转:定时启动→正转 20 秒→暂停 10 秒→反转 20 秒→暂停 10 秒→定时未到回到“正转 20 秒→暂停 10 秒→……”,定时到则停止;

2、若定时到,则停机发出音响信号;

3、用两个数码管显示洗涤的预置时间(分钟数)按倒计时方式对洗涤过程作计时显示,直到时间到停机; 洗涤过程由“开始”信号开始;

4、三只 LED 灯表示“正转”、“反转”、“暂停”三个状态。

教学提示:

1、设计 20 秒、10 秒定时电路。

2、电路输出为“正转”、“反转”、“暂停”三个状态。

3、按照设计要求,用定时器的“时间到”信号启动相应的下一个定时器工作,直到整个过程结束。13.可控脉冲发生器

内容及要求:

实现周期、占空比均可调的脉冲发生器。(1)采用1khz的工作时钟;

(2)脉冲周期0.5s~6s,占空比10%~90%;(3)可初始化:周期2.5s,占空比50%;

14.8bit序列检测器

内容及要求:

完成从2bit输入码流中检测特定8bit数据的电路,具体要求如下:(1)输入2bit码流,msb在前,4个周期的数据组成一个结构化字节;(2)检测序列0x7e;

(3)成功检测到特定序列后,点亮一个LED;

(4)操作中采用开关作为数据输入,按键作为有效数据指示信号;(5)工作时钟选择1k即可;

(6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

15人表决电路设计

内容及要求

完成7人表决电路设计,LED灯表示通过、否决。(1)开关表示赞成与否,1~8编号(1赞成);(2)LED显示表决的结果;

(3)数码管分别显示赞成、否决的人数;(4)工作时钟100Hz即可;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

16排队电路设计

内容及要求

单窗口排队机电路,给每个新来者编号,并计算队伍长度。

(1)进队、离队两个信号作为输入,当前服务号码和队长各由4个数码管显示;(2)初始时队长0,进队号码由1顺序递增,输出编号;(3)有人入队,长度加,有人离队长度减;(4)工作时钟适当即可;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

17算术计算器电路设计

内容及要求

实现一位十进制数的加减乘除元算,结果显示在数码管上。(1)键盘作为运算数据输入;(2)不同位置的按键代表运算符;(3)2个数码管显示运算结果;(4)支持连续运算;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

18电梯控制器电路设计

内容及要求

设计智能电梯运行控制电路,板级验证用按键/开关与数码管/LED表示输入与输出。(1)楼层4层;

(2)梯内显示当前楼层、梯外各层显示当前电梯所在楼层;

(3)1层只有向上按钮,4层只有向下按钮,其余各层均有上下按钮;(4)电梯具有锁定功能(梯内);

(5)超重/超员报警(电路有空载、超重、乘客入梯指示输入);(6)采用Altera的cyclone器件,目标板soc实验室开发板;(7)设计相应的调度算法,使运营成本最低;

(8)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、后仿真、下载验证等。19 LCD模块接口电路设计

内容及要求

实现NiosII驱动240X128LCD显示。

(1)T6963C控制器,显示模块与CPU的接口采用标准的8位微处理器接口,即D0~D7、nRD、nWR、nCE以及C/D信号,当C/D为‘1’时,选中指令通道,数据总线上的数据均为指令;当C/D为‘0’时,选中数据通道,数据总线上的数据为写入LCD或读出的有效数据。8bit输入,串行输出,伴有串行信号msb指示;

(2)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。音频信号存储与播放

内容及要求

(1)4.096s音频信号,8kA/D转换为8bit数据,共32768字节,存储播放;(2)音频数据自行解决,可采用语音传感器采集;(3)扬声器播放;

(4)可以部分播放,时长可控;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。脉宽调制电路设计

内容及要求 脉宽调制电路。(1)脉宽可调;(2)周期可调;

(3)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

22.计时器电路设计

内容及要求

设计时、分、秒计时器电路,数码管显示输出。(1)输入10K精准时钟;

(2)具有时、分、秒计时功能,24小时制;(3)时分秒均可手动调整校正;

(4)计时信息有6个数码管显示输出,格式hhmmss;或者8个数码管显示输出,格式hh:mm:ss;(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。FIR滤波器电路设计

内容及要求

完成有限冲激响应低通数字滤波器设计。(1)滤波频率20kHz;

(2)增益不作要求,抽头系数自行计算;(3)同步电路设计;

(4)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

24自动售货机电路

内容及要求

设计自动售货机电路,要求如下:

(1)待售物品价格1元、2元、3元、五元;(2)只接受1元、5元、10元币值;(3)机内存有1元零钱无限;

(4)投入钱币之前认为售货机为空闲状态;投入钱币后需要按下物品标签吐出商品;(5)自动找零;

(6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。25.排队电路设计

内容及要求

单窗口排队机电路,给每个新来者编号,并计算队伍长度。

(1)进队、离队两个信号作为输入,当前服务号码和队长各由4个数码管显示;(2)初始时队长0,进队号码由1顺序递增,输出编号;(3)有人入队,长度加,有人离队长度减;(4)工作时钟适当即可;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

26信号发生器系统设计

内容及要求

设计一个简易信号发生器。要求能够产生正弦波、方波、锯齿波和三角波信号,并能够实现调频功能;最终能在示波器上观察到各种波形。汽车尾灯控制器设计

内容及要求

根据现代交通规则,汽车尾灯控制器应满足以下基本要求:(1)汽车正常使用时指示灯不亮;(2)汽车右转时,右侧的一盏灯亮;(3)汽车左转时,左侧的一盏灯亮;

(4)汽车刹车时,左右两侧的指示灯同时亮;

(5)汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用。简易音乐播放器

内容及要求

产生音乐的两个因素是音乐频率和音乐的持续时间,以纯硬件完成演奏电路比利用微处理器(CPU)来实现乐曲演奏要复杂的多如果不借助于功能强大的EDA工具和硬件描述语言,凭借传统的数字逻辑技术,即使最简单的演奏电路也难以实现。根据设计要求,乐曲硬件演奏电路系统主要由数控分频器和乐曲存储模块组成。数控分频器对FPGA的基准频率进行分频,得到与各个音阶对应的频率输出。乐曲存储模块产生节拍控制和音阶选择信号,即在此模块中可存放一个乐曲曲谱真值表,由一个计数器来控制此真值表的输出,而由计数器的计数时钟信号作为乐曲节拍控制信号。29自动售邮票机

内容及要求

设计一个自动售邮票机,用开关电平信号模拟投币过程,每次投一枚硬币,但可以连续投入数枚硬币。机器能自动识别硬币金额,最大为1元,最小为5角。设定票价为2.5元,每次售一张票。

购票时先投入硬币,当投入的硬币总金额达到或超过票的面值时,机器发出指示,这时可以按取票键取出票。如果所投硬币超过票的面值则会提示找零钱,取完票以后按找零键则可以取出零钱。

30看门狗设计

内容及要求

1.看门狗电路时电子系统,是嵌入式系统中常用的抗干扰措施之一,其作用是在程序“跑 飞”后强制系统复位。

篇3:eda课程设计题目参考

《EDA设计及应用》课程在前期的设计中已按工作导向的思路对整门课程进行开发, 目前总课时60课时, 分为4个项目, 环环相扣逐层递进, 每个项目又分为若干任务。文章以项目二“秒表设计” (14学时) 中的一项任务“计数器数码管驱动电路设计” (3学时) 展开, 进行具体的单元设计。

1 学生特点分析

专业特点:柳州职业技术学院电子技术、电子信息工程技术、通信技术专业的学生是文理兼招的。

个性特点:差异性大。无论从学习的目的、自身基础还是性格特点、对事物感兴趣的方向、处事方式等方面都有着“90后”的个性化。敢于尝试新鲜事物, 敢于挑战。“微”时代来临, 学生的信息来源学习途径更为广泛, 但却更缺乏持之以恒的耐心。

学习情况: (1) 能运用基本语句完成简单的组合逻辑与时序逻辑电路设计; (2) 已完成十进制计数器、六进制计数器设计; (3) 部分学生逻辑思维较强, 对编写程序感兴趣, 能尝试多种方式实现电路的设计;部分学生高中时期学的是文科, 不能理解基本的电路功能, 对程序执行的顺序理解混乱, 换句话就是电路设计及程序编写对于他们来说就是天方夜谭。

针对这些问题, 从他们的兴趣爱好为突破点, 采取团队合作的方式, 每人都可以选择适合自己的分工, 自身的弱项让别人完成或帮助完成, 这样更能发挥他们的个性化优势, 在做事及沟通的过程中得到成功的体验, 从而激励他们继续将任务完成好, 增强抗挫折的能力, 做到“1+1>2”的团队效应。

2 教学目标设置及教学内容优化

2.1 教学目标设置及内容优化

将任务拆分, 重点内容一是数码管驱动电路设计, 二是将计数器与数码管驱动电路整合。通过前期学习, 学生对基本的语言语句及设计思路已经有了模糊的认识, 能够在老师的指引下完成独立的简单电路的设计, 此时一个单元的任务可以进一步系统化, 但仍要以“微”为主, 开始注重模块与模块之间的衔接问题。这个是高职学生与中职学生的差别, 不仅要会做, 更要懂得如何整合。以下从能力目标、知识目标、素养目标三条线展开。

教学内容的选择能支撑学习目标的实现, 并符合学习者认知水平与特点。

在实践的过程中, 学生有了明确的目标, 整个教学过程学习更轻松, 更高效、更愿意参与讨论, 主动性提高了。但是, 仍然存在不少问题, 在之前的分析中曾提到学生的差异, 有部分学生虽然十分努力也乐意沟通, 但仍无法达到预期目标, 对他们来说只能模仿到形式。针对这一问题, 在对后续班级上课时对教学目标进行了新的调整。

2.2 改进教学目标的设置

教学目标设置采取个性化目标的方式。团队合作不是传统意义上的分组教学, 不仅仅是所有成员共同攻克一个难关。在这个团队中, 每个成员都有他自己的重点工作任务, 然后将各成员的工作成果整合从而完成一项大的任务。因此, 在教学目标的设置上要根据“学生特点分析”后得到的信息, 为他们量体裁衣, 制定个性化教学目标[3]。改进后的教学目标设置见表3。

通过这一转变, 那些对于代码和电路十分头疼的学生有了新的目标和突破口, 他们能够充分发挥自己的特长, 为团队和个人的发展出一份力, 自信心和学习兴趣更为浓厚。教学效率提高、教学品质改善, 内涵建设得到了很好体现。实践证明, 此项课程改革激发了学生的主观能动性, 增强了学生的系统设计能力, 提高了学生的工程实践能力, 有效促进了电子信息类创新型人才的培养[4]。

3 教学过程设计

教学过程设计是一个系统设计并实现学习目标的过程, 它遵循学习效果最优的原则, 其目的是为了提高教学效率和教学质量, 使学生在单位时间内能够得到更大提高, 从而获得良好的发展[5,6]。

经过设计的教学环节思路清晰, 对课程的导入部分、主体部分和结束部分等有清晰的描述与安排;教学时间分配合理;教学过程的设计具有一定灵活性和可操作性。

存在问题及改进:若根据改进后的教学目标设置, 那么整个教学过程的设计应进行相应修改。应将产品推介岗位的具体任务加入设计表中, 使得这部分学生的学习更为专业化和系统化。主线:遵循设计、检测、整合原则, 完成电路的整体设计, 如遇到问题, 三位成员将共同探讨完成。推介人员在此过程中主要任务即弄清设计、整合思路, 学会使用仿真、下载完成设计并熟练应用展示软件, 以便向他人介绍团队工作成果。这一环节将在今后的教学过程中加以改进。

4 教学评价设计

教学评价的原则: (1) 注重运用多种形式的评价方式, 能有效促进学生职业能力的培养; (2) 考核方案设计合理, 可操作性强, 形成性评价和总结性评价相结合, 侧重在形成性评价, 提供课程反馈。建立新的考评体系, 突出专业技能训练。对学生以职业岗位能力为重点, 知识考核和能力考核并重, 以能力考核为主, 采用口试与机试相结合[6]。

因一个教学单元的时间有限, 其评价比较粗糙, 一般以一个项目的整体完成情况对团队进行评价, 更为客观合理。本单元设计可以选取一到两组成员粗略的进行考核。以下为整个项目考核的评价设计, 不要求在单元教学内完成, 在项目全部完成后考核即可。

设计一个秒表, 要求能正确进行六十进制秒计数并用数码管显示, 带进位端, 具有清零、调时等功能。

机试及材料提交要求:

(1) 用幻灯片进行作品功能说明并展示设计思路;

(2) 波形仿真并分析;

(3) 完成现场考核内容并回答相关问题;

(4) 考核完毕5分钟内提交作品文件包, 命名 (X号XX班XXX) , 内容包括幻灯片及所有程序文件。

5 总结

针对教学单元进行教学改革, 可以为内涵建设增添不少动力, 由此推动高职教学改革与提高教育教学质量[7]。教学形式新颖、教学过程深入浅出, 启发性强, 营造的教学氛围有利于提升学生学习的积极主动性。完成设定的教学目标、有效解决实际教学问题, 学生思维及能力得到有效提高, 学生学习效果良好。在教学过程设计和评价方面仍有许多需改进的地方, 教学做结合, 渗透职业素养, 达到资源利用最优化, 评价更为客观合理。

参考文献

[1]张丽萍, 潘行心.EDA在高职数字电子技术教学中的应用[J].中国电力教育, 2011, (26) :104.

[2]严小红.行动导向在计算机教学中的运用[J].新课程 (教师) , 2012, (08) :136-137.

[3]傅伟, 袁强, 王庭俊, 等.高职教育行动导向课程体系的特征与要素分析[J].中国高教研究, 2011, (4) :91-93.

[4]黄丽.地方职业技术学院计算机基础课程分层教学的教学策略探析[J].教研探索, 201.

[5]蒋卫平.高职教育行动导向教学的研究与探讨[J].成人教育, 2011, (10) :79-80.

[6]李彬彬.社会工作专业行动导向教学模式的探索与实践——以“小组工作”课实训教学改革为例[J].西南农业大学学报 (社会科学版) , 2012, (9) :170-173.

篇4:eda课程设计题目参考

[关键词]EDA技术 课程设计 教学实践

[中图分类号] G420 [文献标识码] A [文章编号] 2095-3437(2012)10-0113-02

一、独立学院概况

北京科技大学天津学院是2005年经教育部批准,由北京科技大学和广东珠江投资集团有限公司合作举办的本科层次的全日制独立学院。学院依托北京科技大学优质教育资源,实施“应用型”理论教学和以“职业能力培养为主线”的实践教学,培养适应经济和社会发展需要的理论基础扎实、实践技能强、综合素质高并具有创新精神的应用型本科人才。[1]

二、EDA课程概述

EDA技术是在20世纪90年代逐渐成熟的一门新技术技术,它是设计者以计算机为工具,以大规模可编程逻辑器件为载体,以硬件描述语言为系统逻辑描述的主要表达方式,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。

可编程逻辑器件(如CPLD、FPGA)已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

随着EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自控及计算机应用等领域的重要性日益突出。在技术市场与人才市场对EDA的需求在不断提高,产品的市场效率和技术要求也必然会反映到教学和科研领域中来。

三、教学实践内容

(一)教学手段

实践教学是高校一项重要的教学内容,可以培养学生的动手实践能力和创新能力,本课程设计作为一次重要的实践教学,将采用全程实验室教学,并设置每三人一组。小组教学采用先讲后练的原则,并在练习过程中增加师生间的互动性,有问题立即解决,充分调动学生的主观能动性。[2]

EDA技术课程作为电子信息类专业的核心专业课之一,在专业课程体系中它的功能定位是在专业培养目标中起到承上启下的作用。相比于其他课程,EDA应用技术的教学具有自身的规律和独特性。

首先是教学内容,学生应该是先修完数字电路后再来学习EDA应用技术这门课程,所以在讲授的过程中一些重要的理论知识需要学生提前复习。

其次是教学方法。本课程作为实践课程,以实验实践课为主,这就要求以引导性教学为主。对Verilog HDL的教学不会逐条语句讲授,而应结合具体实例讲解最基本的语句现象及其使用方法。

第三就是注重教学实效。数字电路与EDA技术课程的侧重点不同,前者侧重于逻辑行为实现的认知和验证;后者具有很强的实践性,侧重于实用电子系统的设计,侧重培养学生的自主创新的意识和能力,针对性强的实验应该是教学的重要环节。

(二)教学基础知识

EDA技术需要两方面的基础,一是硬件描述语言(Verilog或VHDL),二是FPGA芯片。

本次课程设计的硬件平台是综合性的实验箱,核心模块采用的FPGA芯片是ALTERA的芯片,型号是FLEX EPF10K10LC84-4,该实验箱还包括模拟信号源与数字时钟模块、按键及拨码开关阵列模块、7段数码管和点阵LED显示模块等常见外设。

在开发工具方面采用Quartus2软件平台,该平台ALTERA公司推出的FPGA、CPLD和ASIC的综合性开发软件,它不但支持电路原理图输入和硬件描述语言输入,而且具有完善的仿真功能。本文将Quartus2软件引入EDA课程设计教学环节目的在于提高学生对数字逻辑电路的分析和设计能力。

硬件描述语言常用的有两种,即VHDL和Verilog HDL。相较于VHDL,Verilog HDL更易学易用,可以在很短时间内掌握该语言,所以本次课程设计的采用了Verilog HDL。[3]

(三)教学内容

《EDA应用技术》的教学重点是基于EDA工具的系统设计技术的掌握,包括软件工具的熟练应用、Verilog HDL硬件描述语言、组合逻辑电路和时序逻辑电路的设计仿真。其中难点是应用Verilog HDL语言进行电子系统的设计。

(四)实践内容设计

应根据EDA应用技术课程实践性强的特点,设计由浅入深的实践内容。针对这次课程设计的课时少并结合独立学院学生的实际情况,对应的课程设计的实验步骤如下:

1.入门实验

实验内容的第一个层次——入门实验,是相关的验证性实验。由教师提供详细的设计程序和实验方法,使学生能有章可循、快速入门。要求学生掌握Quarter2软件的2个基本方式(电路原理图输入法、硬件描述语言法)仿真的整个流程。

2.基础实验

实验内容的第二个层次——基础实验,包括基本的组合逻辑电路和时序逻辑电路的设计和仿真。

3.设计实验

实验内容的第三个层次——设计实验,由教师给定设计目标、实现功能等,要求学生自主设计的实验。学生自行完成设计题目所提出的数字系统,并对出现的问题进行修改,直到完成预定的目标。[4]

(五)考核方式

针对《EDA应用技术》课程设计注重理论知识的实际应用和时间性强的特点,课程的考核分为两部分。

(1)平时成绩占40%,包括出勤和平时的实验表现,这可以反映学生的考勤情况及其在学习过程中的态度表现,促使学生遵守课堂纪律和养成良好的学习态度,增强自我管理能力。

(2)EDA课程实训验收成绩占60%,学生要完成教师给定的题目,反映学生的综合设计实践能力以及创新设计能力。验收实训时要演示设计的系统功能,提交实训设计报告,对设计过程进行总结,以及完成实训后的收获感想等。

(六)课程实施的体会

学生因素是实践教学的主体因素,学生的主观参与愿望兴趣和动机知识条件与基础等都是影响学生的关键要素,实践教学的成败最终体现在学生的变化上,所以学生是实践教学的核心。在课程设计的过程中要时刻调动学生的主动性。

由于本课程设计实践性强,相关理论知识的学习需要学生课下完成。由教师指定教材让学生在课下复习数字电路的理论知识,并预习完成基础语法的学习。

EDA技术在现代社会电子工程领域的应用越来越广泛,通过设置《EDA应用技术》课程设计的实训课程,提高了学生的开发和设计能力,使得学生能运用课本中所学到的知识,提高了学生学习的积极性。现代电子设计技术是发展的,相应的教学内容和教学方法也应不断改进,其中一定有许多问题值得我们继续深入探讨。

[ 参 考 文 献 ]

[1] 于洋,霍素彦,杨会来,郝淑珍. 独立学院人才培养目标定位研究[J].文教资料, 2009,10(28).

[2] 胡有林,朱玉梅.独立学院实践教学影响因素研究[J].黑龙江教育,2012,(5).

[3] 潘松,黄继业,陈龙.EDA技术与Verilog HDL[M].北京:清华大学出版社,2010.

[4] 黄科,艾琼龙,李磊. EDA数字系统设计案例实践[M].北京:清华大学出版社,2010.

篇5:PLC课程设计参考题目

14.基于PLC的智能交通灯控制系统设计 15.PLC控制的升降横移式自动化立体车库 16.PLC控制的花样喷泉 17.PLC控制的抢答器设计

18.基于PLC的机械手控制系统设计 19.自诊断水塔水位自动控制 20.电子计算器设计 21.邮件分捡控制

22.PLC控制自动门的设计 23.PLC控制锅炉输煤系统 24.PLC控制变频调速电梯设计 25.自动送料装车系统PLC控制设计 26.基于PLC的矿井提升机控制系统设计 27.多种液体混合加热PLC控制系统设计 28.成型机全自动控制

29.PLC控制的自动罐装机系统设计 30.步进电机控制 31.全自动皮带运输机设计

32.基于PLC的矿井通风机控制系统设计 33.基于PLC的矿井排水系统设计 34.基于PLC的变频恒压供水系统设计 35.工业污水处理的PLC控制 36.彩灯广告屏的PLC控制 37.组合车床的PLC控制系统设计 38.PLC控制的自动售货机

篇6:管理信息系统课程设计参考题目

管理信息系统课程设计参考题目 家庭财务系统 图书管理系统 学生信息管理系统 宾馆客房管理系统 物业管理信息系统 学籍管理系统 教务管理系统 旅游咨询系统 个人通信管理系统 民航售票管理系统 公司销售系统 教材采购管理系统 实验室设备管理系统 公司物资管理系统 超市物流配送管理信息系统 学生公寓管理系统 食堂信息管理系统 企业客户信息管理系统 企业供销信息管理系统 网上报名系统 歌曲点播系统 成绩查询系统 图书销售信息系统 校园卡管理信息系统 汽车信息查询系统 超市进销存系统 教室管理信息系统 大学生超市财务系统 企业人事管理系统 某企业后勤管理系统 酒店客房预定系统 网上招聘信息系统 新闻管理系统 公司人事管理系统 招聘信息管理系统 集团公司工资管理系统 某软件公司设备管理系统 公司商品流通系统 餐厅订餐系统 家庭理财系统

网上旅游信息查询系统 小区水电信息系统 某企业职工信息系统 汽车订票服务系统 某旅游区信息发布系统 医院药品管理系统 学校器材管理系统 某商店物品管理系统 网上报名信息系统 家庭日常开管理系统 某企业财务查询系统 网上财务系统 某公司项目管理系统 汽车销售管理系统 网上图书信息系统 公园售票系统 书城进销存系统 餐厅进销存信息系统 超市后勤系统 商品房销售信息系统 影城票务管理系统 某影城网上订票系统 书店图书借阅管理系统 超市会员管理系统 市场商家信息管理系统 某公司数码产品进销管理系统 某教育集团学籍管理系统 某风景区管理信息系统 农贸市场管理信息系统 某商店商品管理系统 小区便民查询系统

篇7:C语言课程设计要求及参考题目

一、目的:

本次课程设计是《程序设计基础》课程的综合实验,作为课堂教学和课内正常上机实验的补充。

通过对《程序设计基础》课程的学习,学生已初步掌握C语言的基本概念、结构化程序设计的基本方法,但是实际编程和上机调试程序的能力还远远不足。通过《程序设计课程设计》,加强学生自主学习、收集资料和动手编程的能力,为后续专业课程打好基础。

二、实验安排:

 课程设计内容和要求的安排与讲解在课内时间进行。

 上机机时安排:共一周,除周三下午外,每天全天在机房上机,不足部分自行安排。

三、课程设计说明:

 本次课程设计平台使用

 每人一题。

 本次课程设计要求每个程序要调试通过;课程设计结束后,每人提交课程设计成果如下:

 程序一套,要求演示,演示时采用答辩形式,同学边演示

边讲解编程思路。

 课程设计报告一份,报告中可不包括源代码。

 源代码以提交的源代码要求加注释。(以

附件的形式提交至wtwbylw@163.com,每人一个文件夹,文件夹命名方式为:班级_学号_姓名,以班级为单位打包发

送至邮箱)

四、评分标准

 根据平时上机考勤;注重平时上机情况,教师要不定期检查学

生进度,学生不得以自己有私人电脑为借口而不来上机。

 根据程序运行结果;

 根据《程序设计课程设计报告》,学生能对教师的提问熟练地解

释清楚。

五、参考题目

要求:

1.编写程序,实现某信息处理的过程。数据在程序中用数组、结构体或共用体中的至少一种形式表示。程序从键盘或文件输入,将处理结果存储在文件中。

2.题目要有实际应用背景,例如:简单计算器,字符串比较函数。

3.编写的代码要有一定规模,至少200行,完成至少3项子功能(函数)。

4.周一上午确定题目,题目定后,不得随意修改。

选题一:学生信息管理系统设计

学生信息包括:学号,姓名,年龄,性别,出生年月,地址,电话,E-mail等。试设计一学生信息管理系统,使之能提供以下功能:

(1)学生信息录入功能(学生信息用文件保存)---输入

(2)学生信息浏览功能---输出

(3)查询、排序功能---算法

1)按学号查询

2)按姓名查询

(4)学生信息的删除与修改

选题二:通信录

编写一个通信录管理程序,要求通信录中包括姓名,通信地址,邮政编码和联系电话。功能:

(1)显示通信录的所有信息。

(2)程序中可以对通信录进行添加

(3)可以删除已有的信息

(4)根据姓名或者联系电话(2个都能实现),可以查找该条记录。

选题三:学生成绩记录簿设计

编制一个C语言成绩记录簿,每个学生信息包括:学号、姓名、C语言成绩。具体功能:

(1)创建一个文本文件用来记录学生信息,输入至少30名学生的信息,保存在文本文件中;

(2)将文本文件中的数据读出,按学号或姓名查询成绩;

(3)能添加成绩记录;

(4)能修改指定姓名或学号的学生的成绩;

(5)显示输出60分以下、60~79、80~89、90分以上各分数段的学生信息,显示及格率和平均分,显示最高分和最低分,显示所有学生的排名(不能改变学生的学号顺序);

(6)以上信息能保存在原文本文件中。

选题四:学生选修课程系统设计

假定有n门课程,每门课程有:课程编号,课程名称,课程性质(公共课、必修课、选修课),总学时,授课学时,实验或上机学时,学分,开课学期等信息,学生可按要求(如总学分不得少于60)自由选课。试设计一选修课程系统,使之能提供以下功能:

1、系统以菜单方式工作

2、课程信息录入功能(课程信息用文件保存)--输入

3、课程信息浏览功能--输出

4、课程信息查询功能--算法

查询方式

按学分查询

按课程性质查询

5、学生选修课程(可选项)

选题五:职工工作量统计系统设计

编写一个程序,该程序能输入职工工号和完成的产品数量,程序允许同一职工有多次输入,由程序对其完成的产品数量实现累计。程序按完成数量对他们排序,并确定他们的名次。按完成的产品数量由多到少的顺序,输出名次、同一名次的职工人数及他们的工号(工号由小到大顺序输出)。要求程序用有序链表存储数据信息。

选题六:单项选择题标准化考试系统设计

一、功能要求:

1、用文件保存试题库。(每个试题包括题干、4个备选答案、标准答案)

2、试题录入:可随时增加试题到试题库中

3、试题抽取:每次从试题库中可以随机抽出N道题(N由键盘输入)

4、答题:用户可实现输入自己的答案

5、自动判卷:系统可根据用户答案与标准答案的对比实现判卷并给出成绩。

选题七:职工信息管理系统设计

职工信息包括职工号、姓名、性别、年龄、学历、工资、住址、电话等(职工号不重复)。

试设计一职工信息管理系统,使之能提供以下功能:

1、职工信息录入功能(职工信息用文件保存)--输入

2、职工信息浏览功能--输出

3、职工信息查询功能--算法

查询方式

按学历查询等

按职工号查询等

4、职工信息删除、修改功能(可选项)

选题八:机房收费管理系统

(1)输入功能:输入若干名学生的学号、班级、姓名、开始上机时间和结束上机时间。

(2)计算功能:计算每个学生的上机费用(计算公式:上机费用=(结束上机时间-开始上机时间)*收费标准,(以分钟为单位))

(3)修改功能:修改学生上机的个人档案(如:增添或删除)

(4)查询功能:按条件(班级、学号、姓名)查询学生上机信息,并能显示查询学生的相应全部档案。

选题九:校际运动会管理系统

初始化输入:N-参赛学校总数,M-男子竞赛项目数,W-女子竞赛项目数

各项目名次取法有如下几种:

取前5名:第1名得分7,第2名得分5,第3名得分3,第4名得分2,第5名得分1;

取前3名:第1名得分5,第2名得分3,第3名得分2;

用户自定义:各名次权值由用户指定。

2.由程序提醒用户填写比赛结果,输入各项目获奖运动员的信息。

篇8:eda课程设计题目参考

关键词:EDA技术,课程设计,实践教学

0 引言

随着微电子技术的不断发展和制造工艺水平的提高, 现代电子产品正在以前所未有的革新速度, 朝着功能多样化、体积最小化、功耗最低化的方向迅速发展。EDA (Electronic Design Automation, 电子设计自动化) 技术正是为了适应这种现状, 以缩短电子产品的开发周期, 降低开发成本, 提高产品竞争力为目的, 吸收多学科最新成果而形成的一门新技术。日趋完善的EDA技术正在逐步取代传统的电子设计方法, 广泛应用于工业生产、消费电子、医药卫生、汽车电子、无线通信、仪器仪表等领域。

1 EDA技术课程概述

EDA技术是以大规模可编程逻辑器件为设计载体, 以硬件描述语言为系统逻辑描述的主要表达方式, 以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具, 由计算机自动完成逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、布局布线和仿真, 直至对于特定目标芯片的适配编译、逻辑映射、编程下载等工作, 最终形成集成电子系统或专用集成芯片的一门新技术, 或称为IES/ASIC自动设计技术。 (1)

EDA技术是一门应用性和实践性很强的课程。需要掌握四个方面:大规模可编程逻辑器件, 硬件描述语言, 软件开发工具, 实验开发系统。大规模可编程逻辑器件主要是了解主流的FPGA/CPLD厂商及其主要器件, 对器件的内部结构可以少讲, 不作深入的剖析。常用的硬件描述语言包括VHDL和Verilog HDL两种, 在教学中应让学生至少掌握一种。选用不同厂家的可编程逻辑器件需要使用不同的EDA软件开发工具。目前比较流行的、主流厂家的EDA软件工具有Altera公司的QuartusⅡ, Xilinx的ISE/ISE-Web PACK Series和Lattice公司的isp LEVER。实验开发系统是硬件验证工具。 (2)

EDA技术课程设计作为EDA技术理论课程的后续实践教学环节, 主要教学目的是提高学生的实践动手能力, 注重学生的自主设计能力和创新能力的培养, 比较全面的掌握EDA技术的设计方法和工程开发流程, 为毕业后能适应工作岗位打下基础。

2 EDA课程设计教学实践

2.1 教学安排

我校学生在上EDA课程设计之前已经学习了EDA技术理论课, 并进行了18学时的EDA技术实验, 已经具备一定的理论基础, 掌握QuartusⅡ软件的使用, 能够进行一些基本的组合逻辑电路及时序逻辑电路的设计, 并下载到实验箱上面观察硬件现象。课程设计的教学安排主要包括下面几个阶段。

选题:学生3人一组, 根据分发的题目, 通过查找资料, 选择自己感兴趣的课题或者自由定题, 如果是自由定题需经过指导教师批准后方可进行后续设计。

具体设计:主要包括设计方案的确定, 各模块程序设计, 仿真, 硬件测试。学生在完成设计之后, 需在实验箱上演示硬件现象并进行讲解, 提交项目文件。指导教师根据学生的设计成果进行验收。主要考察学生设计中所用的基础理论、基本知识、基本技能的掌握程度, 以及学生在实验箱实验过程中遇到的问题和解决方案。

课程设计报告撰写:对设计过程进行归纳总结, 按照规定的格式要求完成设计报告的撰写, 每人一份, 不得抄袭。主要是培养学生的论文写作能力。

答辩:学生对其设计内容进行讲解并回答指导教师的提问。

2.2 电路系统的设计方法

EDA技术课程设计的题目一般比较复杂, 需要综合运用所学的知识。采用自上而下的设计方法进行设计, 主要包括下面几个步骤: (1) 分析课程设计任务书的题目及要求; (2) 通过查找资料, 确定系统方案, 将整个系统进行模块划分; (3) 利用Altera公司的QuartusⅡ软件, 采用VHDL语言或原理图的输入方式进行具体模块设计; (4) 功能仿真、时序仿真, 功能仿真是为了验证系统是否能够完成要求的逻辑功能, 时序仿真是考虑了器件延时特性之后的仿真。 (5) 硬件测试。下面以汽车尾灯控制器的设计为例介绍数字电路系统的设计方法。

设计任务:设计一个汽车尾灯控制器, 假设汽车尾部左右两侧各有3盏指示灯, 其控制功能应包括: (1) 汽车正常行驶时指示灯都不亮; (2) 汽车右转弯时, 右侧的一盏指示灯亮且闪烁; (3) 汽车左转弯时, 左侧的一盏指示灯亮且闪烁; (4) 汽车刹车时, 左右两侧的一盏指示灯同时亮; (5) 汽车在夜间行驶, 左右两侧的一盏指示灯同时一直亮, 供照明使用。

按照设计要求, 采用自顶向下的设计方式, 将整个系统分为时钟分频模块、主控模块、汽车左灯控制模块及汽车右灯控制模块等四个模块。其系统组成框图如图1所示。系统的输入信号包括系统时钟信号CLK、刹车信号BRAKE、夜间行驶信号NIGHT、汽车左转弯控制信号LEFT及汽车右转弯控制信号RIGHT, 系统的输出信号包括左侧3盏指示灯LD1 (左转弯信号灯) 、LD2 (左刹车灯) 、LD3 (左夜灯) 及RD1 (右转弯信号灯) 、RD2 (右刹车灯) 、RD3 (右夜灯) 。

分频模块:输入系统时钟信号CLK (16Hz) 进行16分频得到1Hz的时钟信号CP, CP的电平信号分别和LEDL、LEDR电平相与, 用于控制左转弯信号灯LD1及右转弯信号灯RD1的闪烁。

主控模块:此模块用于整体控制, 当输入左转弯信号LEFT时, 输出左灯控制信号LP, 当输入右转弯信号RIGHT时, 输出右灯控制信号RP, 当输入刹车信号BRAKE时, 输出刹车灯控制信号BRAKE_LED, 当输入夜间行驶信号NIGHT时, 输出夜灯控制信号NIGHT_LED, 其它情况输出错误控制信号LR。主控模块的VHDL源程序如下:

汽车左灯控制模块:用于控制左侧尾灯的亮、灭和闪烁情况。在时钟信号上升沿的控制下, 左灯控制信号LP有效时, 左灯LEDL输出有效电平, 输出的LEDL信号与CP信号相与, 使得左转弯信号灯LD1闪烁。刹车控制信号有效时, LEDB输出高电平, 使得左边刹车灯LD2点亮;夜间行驶信号有效时, LEDN输出高电平, 使得左边夜灯LD3点亮。当错误控制信号出现时, 左侧三盏灯都不亮。源程序如下:

汽车右灯控制模块:此模块与左灯控制模块类似, 在这里不作详细介绍。

顶层电路:各模块设计仿真实现后, 可以分别创建各个模块的元件符号, 然后将各子模块采用原理图的输入方式连接起来建立顶层文件, 或者用VHDL语言编写顶层文件实现系统电路。图2是顶层VHDL文件编译后生成的RTL电路图。

系统设计完成后, 对整个系统进行功能仿真, 根据设计要求添加测试输入条件, 观察仿真后的输出结果是否与理论结果相符, 如果不符, 则必须修改源程序, 直到相符为止。图3为系统仿真图, 由仿真现象可知, 当刹车信号Brake为高电平时, 不管时钟信号如何, 左右两盏灯ld2及rd2同时点亮。当夜灯Night为高电平时, 不管时钟信号如何, 左右两盏灯ld3及rd3同时点亮。当左转信号Left及右转信号Right同时有效时, 这是一种错误输入, 左右三盏灯均不亮, 而当左转信号Left单独有效时, 在时钟CLK的上升沿到来后, 相应尾灯Ld1开始闪烁。仿真结果与预期结果相符, 验证了设计的正确性。

电路仿真正确后, 进行硬件验证。根据实验室的实验箱, 选择Altera公司的EP1K30TC144-3芯片, 正确分配好引脚, 进行全编译, 生成编程文件, 连接好实验箱, 将编程文件通过编程器下载到实验箱上面, 然后拨动开关, 观察实验现象是否符合设计要求。

3 结束语

通过课程设计, 同学们对EDA技术表现出浓厚的学习兴趣, 逐渐从被动学习转变成了主动学习。同学们掌握了如何基于FPGA器件进行系统电路设计, 学会了应用编程技术设计专用集成电路芯片的方法, 实践动手能力、综合设计能力得到很大的提高, 为将来的就业奠定了基础。

注释

1谭会生, 张昌凡.EDA技术及应用 (第三版) [M].西安:西安电子科技大学出版社, 2011.

篇9:eda课程设计题目参考

【摘要】本文分析了传统的数字电子技术课程设计的不足,阐述了在课程设计中引入EDA技术的必要性和优越性。

【关键词】课程设计 数字电子技术 EDA 实验平台

【基金项目】中央高校基本科研业务费专项资金资助(编号:16CX02035A),中国石油大学青年教师教学改革项目(编号:QN201413),中国石油大学教学实验技术改革项目(编号:SY-B201402)。

【中图分类号】G642【文献标识码】B 【文章编号】2095-3089(2016)07-0239-02

进入21世纪以来,随着微电子技术、电子技术和计算机技术的飞速发展,数字电子技术及其应用向着更为深入、更为广泛的层次扩展。电子产品的设计周期和上市时间日益缩短,电子产品的功能更加丰富,性能更加优良,由此推动了电子系统设计技术向电子设计自动化EDA方向发展,并且对EDA技术及其应用提出了更高的要求。

1.课程设计的背景

中国石油大学(华东)的“电工电子学”课程是“国家级精品课程”,以着重培养学生的系统观念、工程观念、科技创新等基本素质为教学方针。多年来在教学和科研中紧跟电子技术发展的每一个关键时刻,教学组的教师都适时地对内容体系和教材进行更新和完善,坚持不断进行课程改革,取得了丰硕的成果。“数字电子技术课程设计”是为大二学生暑期开设的一门必修课程,它是“数字电子技术基础”和“电子技术实验”等课程的后续课程,主要以培养学生的实践能力和创新精神为目标,加深学生对理论知识的理解,切实提高动手和解决问题的能力。

2.课程设计的选题

针对电子专业的特点,我们在数字电子技术课程设计部分采用了“基于复杂可编程逻辑器件(CPLD)实现电阻、电感、电容的测量”这一题目。测量工作原理是将被测量转换成频率,由CPLD实现频率的计算,并转换成被测量信号后输出显示。电阻、电感、电容经过转化电路,完成电阻/频率(R/ F)转换,电容/频率(C/ F)转换,电感/频率(L/ F)转换。用CPLD测量其频率,具体功能分块包括:多路选择开关、分频器、时间闸门计数器、测量计数器等。

频率测量的主要部件是一个带门控计数端的计数器(测量计数器),被测信号(被测频率)由此计数器计数。如果门控计数器的开门计数时间恰好为1秒,则测量计数器的计数值就是输入信号的频率。若改变开门计数时间,即可改变频率测量的量程。如开门时间为0.1秒,则量程为×10,开门时间为0.01秒,量程为×100,开门时间为0.001秒,量程为×1000。由计数器的数值即可换算电阻、电感、电容的大小。

本课题要求设计一个测量电阻、电感、电容的4位十进制数字显示的数显仪表,根据频率计的测频原理,由测频量程需要,选择合适的时基信号即闸门时间,对输入被测信号进行计数,实现测量的目的。其数显测量范围为0-99990Hz,满刻度量程分为9999、99990两档,手动转换量程,当输入计数值大于实际量程时有溢出指示。

3.课程设计的实现

(1)按照现代数字系统的Top-Down模块化设计方法,提出数字频率计的整体设计方案,并进行正确的功能划分,分别提出并实现控制器、受控器模块化子系统的设计方案。

(2)针对ispLEVER的EDA设计环境,采用Verilog HDL语言,完成受控器模块(测量计数器)的设计,并采用Abel语言编程进行仿真。

(3)在ispLEVER的EDA设计环境中,完成基于Verilog语言实现的控制器模块(闸门计数器,量程开关,选择开关)的设计,并采用Abel语言进行仿真。

(4)基于ispLEVER的EDA设计环境,采用Verilog HDL语言或原理图,完成顶层模块的设计并采用Abel语言编写测试向量文件进行仿真。

4.结束语

通过课程设计的锻炼,学生可以增强综合分析问题及解决问题的能力,激发学习兴趣和潜在的能动性。有学生在总结报告中写道:“通过这次课程设计,我切身体会到给出一个命题,利用Verilog语言编程实现这个命题,并利用软件模拟仿真,看功能是否得以实现的全过程。一方面学到了许多新知识,另一方面使我们对数字电子设计的全过程有了一个全面的了解,同时也深刻感受到利用EDA软件实现电子设计的强大优势。这样的课程设计很适合我们,使我们受益匪浅”。

参考文献

[1]王君红, 刘复玉, 任旭虎. “电工电子学”实验教学模式改革[J]. 实验科学与技术, 2012, 10(5): 76-78.

[2]于云华. 数字电子技术基础[M]. 东营: 中国石油大学出版社, 2008: 392-399.

作者简介:

上一篇:计算机人员工作总结下一篇:大学生十九大心得体会