eda综合课程设计

2024-07-13

eda综合课程设计(共8篇)

篇1:eda综合课程设计

时 间 学 院 专业班级 姓 名 学 号 教 师 成 绩

北京科技大学——自1105班——王玮——41151133

EDA课程设计报告

2013年12月

北京科技大学——自1105班——王玮——41151133

一、课程目的

1.学习和了解EDA技术的内容、开发软件以及发展过程。2.熟练掌握Multisim软件的功能使用和仿真工具的应用。3.学会使用Multisim软件设计电路、仿真实现一些简单的功能。4.根据所设计的电路,在Multisim 计算机软件开发环境下,详细介绍如何自动实现电路图的绘制、仿真及测试故障诊断

二、设计内容

利用Multisim设计一个四路彩灯控制器。它要求系统启动后自动从初始状态按规定程序完成3个节拍的循环演示。第一节拍:四路彩灯从右向左逐次渐亮,;第二节拍:四路彩灯从左向右逐次渐灭;第三节拍:四路彩灯同时亮后,同时变暗,进行4次。

三、设计原理

根据系统要求,设计系统硬件框图如下图所示。

1.信号发生器

信号发生器提供频率为100赫兹的脉冲。

北京科技大学——自1105班——王玮——41151133 2.四进制分频器

分频器可由各种类型的四进制计数器构成。在此,采用74LS74N中的D触发器,连接成下图所示的四进制异步减法计数器。

3.三进制节拍控制器

此系统有3个不同的工作节拍,是由状态(Q1、Q0)的三种编码(10、0l、11)表示的。选用74LS74N中的D触发器和74LS00D中 的与非门构成下图所示的三进制计数器。

4.节拍程序控制器

双相移位寄存器是74LS194,是产生移动灯光信号的核心器件。下图是74LS194的逻辑图和功能表。该寄存器由4个RS触发器及它们的输入控制电路组成。具有并行寄存、左移寄存、右移寄存和保持四种工作模式。为清零端,低电平有效;CLK为上升沿触发,SL、SR分别为左移和右移串行输入端;S0、S1为两个控制输入端,它们的状态组合可以完成保持、右移、左移、并行输入四种控制功能。当S1=0,S0=0时电路保持原来的状态;当S1=0,S0=1时,数据从右移输入端SR送入寄存器;当S1=1,S0=0时,数据从左移输入端SL送入寄存器;当S1=1,S0=1时,数据从DCBA并行输入端预置数。

北京科技大学——自1105班——王玮——41151133

四、系统调试修改

在程序主界面内创建如下图所示的仿真电路,其中的过程图示也见下图。

图1 未仿真时的电路

北京科技大学——自1105班——王玮——41151133

图2 仿真时的电路

图3 仿真时的示波器

北京科技大学——自1105班——王玮——41151133

五、收获及心得

通过本次EDA课程设计,我对multisim这个软件有了进一步的认识,同时通过老师的课上讲解和课下查阅资料,我对EDA的了解更深一层次,对它的发展历史和一些常用的开发环境和软件有了较为系统的认识。Multisim 的仿真方法切合实际, 所选元件和仪器与实际应用非常相近, 均可直接从屏幕上选取, 而且仪器的操作开关、按键与实际仪器极为相似, 改变了传统基于电路板的设计方法, 从而大大缩短了设计时间,降低实验成本, 提高了效率。

在设计过程中,当然不可避免的遇到了诸多问题。首先是对软件的汉化,对于原英文软件打开后完全是一直半解,网上查了汉化方式才解决。其次是找一些原件也遇到了障碍,一些自己想用的型号有些不符,查阅了网上的很多资料才找到或者找到一些代替的原件。还有刚做好的电路由于自己频率没有选合适,所以结果与自己的预想有些偏差,试了好多才选好。

篇2:eda综合课程设计

课程设计

姓名:

学号:

班级:自动化

设计题目

多功能数字钟电路设计

设计任务及要求

多功能数字钟应该具有的功能有:显示时—分—秒、小时和分钟可调等基本功能。整个钟表的工作应该是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时,小时的范围为0~23时。

在实验中为了显示的方便,由于分钟和秒钟显示的范围都是从0~59,所以可以用一个3位的二进制码显示十位,用一个四位的二进制码(BCD码)显示个位,对于小时因为他的范围是从0~23,所以可以用一个2位的二进制码显示十位,用一个4位的二进制码(BCD码)显示个位。

实验中由于七段码管是扫描的方式

显示,所以虽然时钟需要的是1Hz时钟信号,但是扫描需要一个比较高频率的信号,因此为了得到准确的1Hz信号,必须对输入的系统时钟50Mhz进行分频。

调整时间的按键用按键模块的S1和S2,S1调节小时,每按下一次,小时增加一个小时;S2调整分钟,每按下一次,分钟增加一分钟。另外用S8按键作为系统时钟复位,复位后全部显示00—00—00。

三.基于Verilog

HDL语言的电路设计、仿真与综合(一)顶层模块

本程序采用结构化设计方法,将其分为彼此独立又有一定联系的三个模块,如图1所示:

图1:顶层结构框图

(二)子模块

1.分频器

分频器的作用是对50Mhz的系统时钟信号进行分频,得到频率为1000hz的信号,作为显示器的输入信号。

源程序如下:

module

fenpin(input

CP,output

CPout);

reg

CPout;

reg

[31:0]

Cout;

reg

CP_En;

always

@(posedge

CP)

//将50MHz分频为1kHz

begin

Cout

<=

(Cout

==

32'd50000)

?

32'd0

:

(Cout

+

32'd1);

CP_En

<=

(Cout

==

32'd50000)

?

1'd1

:

1'd0;

CPout

<=

CP_En;

end

endmodule

功能仿真波形如图2所示(以五分频为例):

2.控制器和计数器

控制器的作用是,调整小时和分钟的值,并能实现清零功能。计数器的作用是实现分钟和秒钟满60进1,小时则由23跳到00。当到达59分55秒的时候,LED灯会闪烁来进行报时。因为控制器和计数器的驱动信号频率均为1Hz,故从分频器输出的信号进入控制器后,要进行二次分频,由1Khz变为1Hz。

if(Clk_En)

begin

if(R1==1)

begin

if(Hour<24)

Hour=Hour+1;

if(Hour==24)

begin

Hour=0;

end

R1=0;

end

if(R2==1)

begin

if(Minute<60)

Minute=Minute+1;

if(Minute==60)

begin

Minute=0;

if(Hour<24)

Hour=Hour+1;

if(Hour==24)

begin

Hour=0;

End

end

R2=0;

end

if(Second<60)

Second=Second+1;

if(Second==60)

begin

Second=0;

if(Minute<60)

Minute=Minute+1;

源程序如下:

module

kongzhiqi(CPout,S1,S2,RET,Hour,Minute,Second,LED);

input

CPout,S1,S2,RET;

output

[5:0]

Hour;

output

[5:0]

Minute;

output

[5:0]

Second;

output

LED;

reg

[5:0]

Hour;

reg

[5:0]

Minute;

reg

[5:0]

Second;

reg

R1;

reg

R2,R8,LED;

reg

[10:0]

Cout;

reg

Clk_En;

always@(posedge

CPout)

begin

if(S1==0)

begin

R1=1;

end

if(S2==0)

begin

R2=1;

end

if(RET==0)

begin

R8=1;

end

Cout=(Cout==32'd1000)?32'd0:(Cout

+

32'd1);

Clk_En=(Cout==32'd1000)?1'd1:1'd0;

LED=1;

end

else

LED=0;

if(R8==1)//清零

begin

Hour=0;

Minute=0;

Second=0;

R8=0;

end

end

end

endmod

if(Minute==60)

begin

Minute=0;

if(Hour<24)

Hour=Hour+1;

if(Hour==24)

begin

Hour=0;

end

end

end

if((Minute==59)&&(Second>55))

begin

if(LED==1)

LED=0;

else

功能仿真波形如图3所示:

3.显示器

显示器的作用是将时—分—秒的值在数码管上依次显示出来。从分频器输出的1Khz的信号作为数码管的扫描信号。SEL

表示三个数码管选择位,它的取值表示八个数码管,从左至右依次是111~000。LEDGA表示七段数码管,它的取值决定特定位数上显示的数字。

源程序如下:

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b100)

Led=7'b1000_000;

if(SEL==3'b011)

case(shiwei2)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

module

xianshi(CPout,Hour,Minute,Second,SEL,LEDAG);

input

CPout;

input

Hour,Minute,Second;

output

SEL,LEDAG;

reg

[2:0]

SEL;

reg

[6:0]

Led;

reg

[3:0]

shi1,ge1,shi2,ge2,shi3,ge3;

always

@(posedge

CPout)

begin

shiwei1=Hour/10;

gewei1=Hour%10;

shiwei2=Minute/10;

gewei2=Minute%10;

shiwei3=Second/10;

gewei3=Second%10;

if(SEL==3'b110)

case(shiwei1)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b101)

case(gewei1)

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b111)

case(gewei3)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

SEL

=

SEL

+

3'd1;

end

assign

LEDAG=Led;

endmodule

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b010)

case(gewei2)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b001)

Led=7'b1000_000;

if(SEL==3'b000)

case(shiwei3)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

总结体会

这次课程设计虽然只有短短的四天,但我的收获却很大。通过这次实习,我掌握了EDA设计的基本流程(即设计输入—编译—调试—仿真—下载),领会了自顶而下结构化设计的优点,并具备了初步的EDA程序设计能力。

我感觉,这个程序最难的地方在于顶层模块的设计,因为顶层模块需要将各个子模块按照电路原理有机地结合起来,这需要扎实的理论功底,而这正是我所欠缺的。相比而言,子模块的设计就容易多了,因为Verilog语言和C语言有很多相似之处,只要明白了实验原理,就不难完成,水平的高下只体现在程序的简洁与否。Verilog源程序的编写很容易出现错误,这就需要耐心的调试。因为很多情况下,一长串的错误往往是由一个不经意的小错误引起的。当程序屡调屡错的时候,最好和其他同学沟通交流一下,他们不经意的一句话,就可能给我启发,使问题迎刃而解。

篇3:eda综合课程设计

2014年3月教育部发表声明, 全国将有600多所地方本科高校向应用技术大学转型发展, 肩负起培养高层次应用型人才的使命。应用型人才指能将专业知识和技能应用于所从事的专业社会实践的一种专门的人才类型, 是熟练掌握社会生产或社会活动一线的基础知识和基本技能, 主要从事一线生产的技术或专业人才, 具有专业理论基础扎实、动手能力强、能快速适应工作岗位、发展潜力大等优势[1]。然而, 有些地方本科院校的生源与教学质量较差, 致使学生普遍存在无法较好地将所学知识融合贯通, 更不要说具备良好的综合应用能力。如何在教学环节引入合理、有效的教学方法来提高学生的综合应用能力, 是近年来这些高校一直研究的重点。本文以西安文理学院电类专业EDA (电子设计自动化) 课程教学为例, 通过引入竞赛激励法, 增强学生学习兴趣, 强化实践练习, 达到了良好的教学效果。

1 我校EDA课程教学中存在的问题

EDA课程是一门综合性和实践性都很强的课程, 它要求学生利用硬件描述语言, 在软件环境下设计、编程、仿真并实现硬件电路。其先修课程主要有电路分析、模拟电路、数字电路、接口技术等, 涵盖了大量的电类知识点。我校EDA课程涉及电子信息工程、自动化和测量技术与仪器三个工科专业, 均要求培养出具有较强实践能力和应用能力的学生。

作为一所新建地方本科院校, 升本初期我校EDA实验设备较为落后, 设备逻辑门数有限, 教学期间基本以教师讲授为主, 学生仅能利用有限的上机时间, 对课本上的简单电路示例进行仿真, 实践动手能力较差。随着学校实验室建设的大力开展, 我校引入了全新的FPGA实验设备。但是由于受传统教学方法的影响, 在教学过程中教师仍先授课再实验。实验课以验证性实验为主, 设计性和综合性实验所占比重偏小。学生虽然参与了完整的项目设计, 但是知识点的应用仍限制于书本范围, 大型实验的设计结果很不理想。与此同时, 教育部要求整合课程体系, 适当压缩课内学时, 我校EDA课程总学时也有所压缩。因此, 我们在教学中引入了竞赛激励法, 以充分锻炼学生的综合应用能力。

2 EDA课程中竞赛激励法的实施

竞赛激励法是源于英国教育家斯宾塞“快乐教育”理论引申出来的一种教学方法[2]。在教学过程中, 适时地开展竞争机制, 激发学生求知欲, 提高学生综合能力, 使教学效果得到明显的改善。

EDA课程不应让学生仅局限于学习硬件描述语言, 而应该让学生从做中学, 不断完善学生自身的知识结构。因此, 各教研室在制定教学大纲时均增加了实验课时, 大量缩减了理论学时。任课教师把课堂从教室搬到实验室, 这样学生从上课初期就能直观地认识FPGA设备。在开展理论学习的同时, 学生能利用练习时间, 深入掌握EDA技术的层次化设计过程和开发过程, 加强了实践能力的培养。

为了更好地巩固所学内容, 并与先修课程有机结合, 教研组制定了详细的实验大纲。实验大纲中设置了较易、中等、较难三个难度的多个设计性和综合性实验, 并设定了各实验的基本功能。实验不仅覆盖了EDA课程的全部内容, 甚至还增加了一些课外信息, 涉及多个电学知识, 以锻炼学生的自主学习能力[3]。学生必须选择2~3个难度不等的设计性和综合性实验, 按要求完成系统方案设计、软件编程、调试、仿真、下载、硬件测试和设计报告。为了更进一步考核学生的综合应用能力, 要求在完成系统基本功能的同时, 学生自主添加并实现尽可能多的扩展功能。

由于受实验设备、课时等因素的影响, 我校EDA实验要求2~3个学生自由组合成一个小组, 共同完成。同时, 要求组内成员轮流担任组长, 负责本次实验的方案设计和协调工作[4]。这样既可以培养学生的团队合作精神, 还可以让每位同学在设计中充分得到锻炼, 避免了实验均由组内实力较强的同学一人完成的现象发生[5]。为了进一步刺激学生的学习兴趣, 教师将每次实验设计成竞赛形式, 要求学生必须在规定的时间内完成全部设计。同时, 在开放性实验室制度的保障下, 学生除了可在课内进行设计, 还可以利用课余时间进入到实验室继续设计。

设计性和综合性实验期间, 规定任课教师与实验教师同时在场, 以便观察各小组实验的进展情况, 同时可以确保公平评定成绩。各小组实验成绩的评定包含出勤率、实验难度系数、设计的合理性与优化、设计排名 (按完成先后顺序) 、扩展功能加分等。此外, 教师还会根据组内各成员的表现, 在已有小组成绩的基础上对每位同学的成绩进行浮动调整。真正做到以竞赛形式开展设计, 以公平原则对待每一位同学, 激发学生对学习的自觉性、主动性和积极性, 培养学生的团队合作意识, 更好地提升学生的综合应用能力。

3 竞赛激励法的教学效果

从2013年开始, 我校EDA课程引入竞赛激励法至今, 经历了四个学期的教学实践过程, 取得了良好的教学效果。

第一, 学生的学习热情大幅高涨, 知识面得到有效地扩充。教师在整个教学过程中转变为主导者, 赋予学生更大、更自由的学习空间, 真正将学生确立为教学主体。学生从以往的看书假想设备, 转变为利用设备学习新知识。面对功能强大的EDA实验设备, 学生能自觉完成计划内的验证性实验, 还能主动完成设备附带的其它实验。

第二, 采用竞赛方式, 促进学生自学能力的提高。首先, 为了更快设计出功能强大、稳定性强的系统, 获得更好的考试成绩, 学生自主掌握了资料查询的方法和技巧, 并能快速地筛选出有用信息。其次, 学生能将各科知识有机融合在一起, 不断丰富着自己的知识库。再次, 学生能积极地提出问题、分析问题、解决问题, 锻炼了独立的研究能力和动手能力。特别是在遇到一些棘手的问题时, 做到尽可能地自我解决, 而不是遇事就求助老师。

第三, 采用小组合作、组长轮换机制, 很好地培养了学生的团队合作精神, 组内成员相互协助、相互激励、共同成长。引入竞赛激励法后, 学生无论是对EDA课程的认知程度, 还是对系统设计的熟练程度都有了明显的提升, 期末成绩也有了显著提高。

第四, 良好的知识结构体系, 为学生参加毕业设计和各类竞赛打下坚实的基础。经过竞赛激励法培养出来的学生, 在毕业设计期间能较好地独立完成课题设计, 甚至有些同学积极挑战难度较大的课题, 且整个设计能做到良好的完整性和规范性。此外, 学生在EDA课程学习期间, 教师还可以发掘一些学习能力强、知识结构完整、动手能力强的学生, 推荐参加各类学科竞赛, 且均取得了优异的成绩。

在EDA课程中积极推广竞赛激励教学法, 其教学模式的转变既增强了学生的自主学习能力, 也有效地提高了学生的综合应用能力和实践能力。只有培养出满足社会需要的应用型人才, 才能使学生在就业竞争中多一份胜算, 在考研时多一份把握。

参考文献

[1]崔德芹, 于洪艳, 殷飞, 等.应用型新建本科院校农业建筑环境与能源工程专业实践教学研究[J].吉林农业科技学院学报, 2014, 23 (1) :92-95.

[2]彭劲.以竞赛为导向的园林设计主题教学与实践方案研究[J].现代园艺, 2014, 1:75-77.

[3]李盛, 刘朝晖.论技能竞赛对高校实践教学改革的促进作用[J].高等函授学报:自然科学版, 2013, 26 (1) :40-41.

[4]吕翠红.竞赛法在汽修专业教学中应用的启示[J].科技创业家, 2013, 23:175.

篇4:eda综合课程设计

[关键词]EDA技术 课程设计 教学实践

[中图分类号] G420 [文献标识码] A [文章编号] 2095-3437(2012)10-0113-02

一、独立学院概况

北京科技大学天津学院是2005年经教育部批准,由北京科技大学和广东珠江投资集团有限公司合作举办的本科层次的全日制独立学院。学院依托北京科技大学优质教育资源,实施“应用型”理论教学和以“职业能力培养为主线”的实践教学,培养适应经济和社会发展需要的理论基础扎实、实践技能强、综合素质高并具有创新精神的应用型本科人才。[1]

二、EDA课程概述

EDA技术是在20世纪90年代逐渐成熟的一门新技术技术,它是设计者以计算机为工具,以大规模可编程逻辑器件为载体,以硬件描述语言为系统逻辑描述的主要表达方式,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。

可编程逻辑器件(如CPLD、FPGA)已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

随着EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自控及计算机应用等领域的重要性日益突出。在技术市场与人才市场对EDA的需求在不断提高,产品的市场效率和技术要求也必然会反映到教学和科研领域中来。

三、教学实践内容

(一)教学手段

实践教学是高校一项重要的教学内容,可以培养学生的动手实践能力和创新能力,本课程设计作为一次重要的实践教学,将采用全程实验室教学,并设置每三人一组。小组教学采用先讲后练的原则,并在练习过程中增加师生间的互动性,有问题立即解决,充分调动学生的主观能动性。[2]

EDA技术课程作为电子信息类专业的核心专业课之一,在专业课程体系中它的功能定位是在专业培养目标中起到承上启下的作用。相比于其他课程,EDA应用技术的教学具有自身的规律和独特性。

首先是教学内容,学生应该是先修完数字电路后再来学习EDA应用技术这门课程,所以在讲授的过程中一些重要的理论知识需要学生提前复习。

其次是教学方法。本课程作为实践课程,以实验实践课为主,这就要求以引导性教学为主。对Verilog HDL的教学不会逐条语句讲授,而应结合具体实例讲解最基本的语句现象及其使用方法。

第三就是注重教学实效。数字电路与EDA技术课程的侧重点不同,前者侧重于逻辑行为实现的认知和验证;后者具有很强的实践性,侧重于实用电子系统的设计,侧重培养学生的自主创新的意识和能力,针对性强的实验应该是教学的重要环节。

(二)教学基础知识

EDA技术需要两方面的基础,一是硬件描述语言(Verilog或VHDL),二是FPGA芯片。

本次课程设计的硬件平台是综合性的实验箱,核心模块采用的FPGA芯片是ALTERA的芯片,型号是FLEX EPF10K10LC84-4,该实验箱还包括模拟信号源与数字时钟模块、按键及拨码开关阵列模块、7段数码管和点阵LED显示模块等常见外设。

在开发工具方面采用Quartus2软件平台,该平台ALTERA公司推出的FPGA、CPLD和ASIC的综合性开发软件,它不但支持电路原理图输入和硬件描述语言输入,而且具有完善的仿真功能。本文将Quartus2软件引入EDA课程设计教学环节目的在于提高学生对数字逻辑电路的分析和设计能力。

硬件描述语言常用的有两种,即VHDL和Verilog HDL。相较于VHDL,Verilog HDL更易学易用,可以在很短时间内掌握该语言,所以本次课程设计的采用了Verilog HDL。[3]

(三)教学内容

《EDA应用技术》的教学重点是基于EDA工具的系统设计技术的掌握,包括软件工具的熟练应用、Verilog HDL硬件描述语言、组合逻辑电路和时序逻辑电路的设计仿真。其中难点是应用Verilog HDL语言进行电子系统的设计。

(四)实践内容设计

应根据EDA应用技术课程实践性强的特点,设计由浅入深的实践内容。针对这次课程设计的课时少并结合独立学院学生的实际情况,对应的课程设计的实验步骤如下:

1.入门实验

实验内容的第一个层次——入门实验,是相关的验证性实验。由教师提供详细的设计程序和实验方法,使学生能有章可循、快速入门。要求学生掌握Quarter2软件的2个基本方式(电路原理图输入法、硬件描述语言法)仿真的整个流程。

2.基础实验

实验内容的第二个层次——基础实验,包括基本的组合逻辑电路和时序逻辑电路的设计和仿真。

3.设计实验

实验内容的第三个层次——设计实验,由教师给定设计目标、实现功能等,要求学生自主设计的实验。学生自行完成设计题目所提出的数字系统,并对出现的问题进行修改,直到完成预定的目标。[4]

(五)考核方式

针对《EDA应用技术》课程设计注重理论知识的实际应用和时间性强的特点,课程的考核分为两部分。

(1)平时成绩占40%,包括出勤和平时的实验表现,这可以反映学生的考勤情况及其在学习过程中的态度表现,促使学生遵守课堂纪律和养成良好的学习态度,增强自我管理能力。

(2)EDA课程实训验收成绩占60%,学生要完成教师给定的题目,反映学生的综合设计实践能力以及创新设计能力。验收实训时要演示设计的系统功能,提交实训设计报告,对设计过程进行总结,以及完成实训后的收获感想等。

(六)课程实施的体会

学生因素是实践教学的主体因素,学生的主观参与愿望兴趣和动机知识条件与基础等都是影响学生的关键要素,实践教学的成败最终体现在学生的变化上,所以学生是实践教学的核心。在课程设计的过程中要时刻调动学生的主动性。

由于本课程设计实践性强,相关理论知识的学习需要学生课下完成。由教师指定教材让学生在课下复习数字电路的理论知识,并预习完成基础语法的学习。

EDA技术在现代社会电子工程领域的应用越来越广泛,通过设置《EDA应用技术》课程设计的实训课程,提高了学生的开发和设计能力,使得学生能运用课本中所学到的知识,提高了学生学习的积极性。现代电子设计技术是发展的,相应的教学内容和教学方法也应不断改进,其中一定有许多问题值得我们继续深入探讨。

[ 参 考 文 献 ]

[1] 于洋,霍素彦,杨会来,郝淑珍. 独立学院人才培养目标定位研究[J].文教资料, 2009,10(28).

[2] 胡有林,朱玉梅.独立学院实践教学影响因素研究[J].黑龙江教育,2012,(5).

[3] 潘松,黄继业,陈龙.EDA技术与Verilog HDL[M].北京:清华大学出版社,2010.

[4] 黄科,艾琼龙,李磊. EDA数字系统设计案例实践[M].北京:清华大学出版社,2010.

篇5:EDA课程设计题库

设计题目及题目设计要求如下:

1、数字频率计设计 任务与要求:

2、设计一个数字频率计;

3、要求测量频率范围是01MHz;

4、结果用十进制数显示。

2、乒乓球游戏机设计 任务与要求:

1、用8个发光二极管表示球;用两个按钮分别表示甲、乙两个球员的球拍;

2、一方发球后,球以固定速度向另一方运动(发光二极管依次点亮),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;

3、甲乙各有数码管计分显示;

4、系统配备裁判按钮,每次得分后按下裁判按钮认定得分有效,系统具备初始化功能。

3、彩灯控制器设计 任务与要求:

1、设计一个彩灯控制器,使十个彩灯(LED管)能连续发出四种以上不同的显示形式(如奇数依次亮等),;

2、随着彩灯显示图案的变化,发出不同的音响声(可用不同频率的矩形波产生)。

4、速度表设计 任务与要求:

1、显示汽车时速Km/h;

2、车轮每转一圈,有一个传感脉冲;每个脉冲假定代表1m的距离;

3、采样周期设为10S;

4、要求数码管显示到小数点后2位;

5、具备超速报警功能。

5、拔河游戏机设计 任务与要求:

1、设计一个能进行拔河游戏的电路;

2、电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。

3、游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方

向移动。

4、亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只

有复位后才使亮点恢复到中心。

5、用数码管显示获胜者的盘数

6、数字跑表 任务与要求:

1、具有暂停/启动功能;

2、具有重新开始功能;

3、用6个数码管分别显示百分秒、秒和分钟;

4、能计两个人跑步时间,并能选择显示。

7、电梯控制器设计 任务与要求: 1、5层电梯控制器,用5个LED显示电梯行进过程,并用数码管显示电梯当前所在楼层位置,每层电梯入口处设有上楼和下楼请求按钮,按下按钮,则相应楼层的LED亮;

2、电梯到达请求楼层,相应的请求LED灯灭,电梯门打开,开门5S,电梯自动关门,继续上行(下行);

3、每层设有电梯上行和下行指示灯。

8、篮球计分器设计 任务与要求:

1、具有24s(也可假定30s)计时、显示;

2、可对计时器清零、置数、启动和暂停; 3、30秒倒计时;

4、两个数码管显示两队比分;

5、超时报警并可解除报警。

9、电子琴设计 任务与要求:

1、设计一个简易电子琴;

2、利用一基准脉冲产生1,2,3„共7个音阶信号;

3、用指示灯显示节拍;

4、能产生颤音效果。

10、自动售货机控制系统设计 任务与要求:

1、假定可出售1元和1.5元两种商品;

2、投币只能投入五角和1元硬币;

3、可找零。

11、步进电机控制器设计 任务与要求:

1、设计一个有三相六拍和三相三拍两种工作方式的脉冲分配器;

2、能控制反转和正转;

3、能显示步数和控制电机转到预订步数。

12、电子密码锁一设计 任务与要求:

1、设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;

2、在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8 位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;

3、从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。

13、电子密码锁二设计 任务与要求:

1、具有上锁键和开锁键,每次上锁之前要先按上锁键,然后自设密码(3位),开锁时要先按开锁键,然后输入上锁时设定的密码开锁;

2、用led灯亮灭代表开锁和上锁 ;

3、密码错误报警并锁定电子锁。

14、数字秒表设计 任务与要求:

1、计时精度达到10ms,计时范围595959;

2、数码管显示;

3、可停止,继续,重新计数。

15、数字钟设计 任务与要求:

1、设计一个能显示1/10秒、秒、分、时的12小时数字钟;

2、熟练掌握各种计数器的使用;

3、能用计数器构成十进制、六十进制、十二进制等所需进制的计数器;

4、能用低位的进位输出构成高位的计数脉冲。

16、电子日历设计 任务与要求:

1、能显示年月日和星期;

2、年月日和星期都可调;

3、注意闰年。

17、洗衣机控制器设计 任务与要求:

1、设计一个电子定时器,控制洗衣机作如下运转:定时启动正转20秒暂停10秒反 转20秒暂停10秒定时未到回到“正转20秒暂停10秒……”,定时到则停止;

2、若定时到,则停机发出音响信号;

3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直 到时间到停机;洗涤过程由“开始”信号开始;

4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。

18、波形发生器设计 任务与要求:

1、可产生三角波、方波(幅值可预置或预存储好)

2、波形频率、占空比可调

19、乐曲演奏系统设计 任务与要求:

1、设计一个能发出7个音阶的系统并自动播放一首歌曲(歌曲可自定)

20、出租车计费器设计 任务与要求:

1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四 位数码管显示总金额,最大值为99.99元;

2、行车里程单价1元/公里,等候时间单价5元/10分钟,起价3元(3公里起价)均能 通过人工输入;

3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码 电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1 公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可 由开关预置。例如单价是1元/公里,则脉冲当量为0。01元/脉冲;

4、用LED显示行驶公里数,两个数码管显示收费金额。

21、数字式竞赛抢答器设计 任务与要求:

1、设计一个可容纳6组(或4组)参赛的数字式抢答器,每组设一个按钮,供抢答使用;

2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用;

3、设置一个主持人“复位”按钮;

4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响;

5、设置一个计分电路,每组开始预置100分,由主持人记分,答对一次加10分,答错一次减10分。

22、序列检测器设计 任务与要求:

1、可检测连续的数字序列,当输入的数字序列连续八个值等于一组串行码(如00011101)时输出高电平并报警;

2、串行码的值可设定。

23、交通灯控制器设计 任务与要求:

设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

1、红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号;

2、主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯;

3、主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路;

4在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。

24、步行街道自助式交通灯控制器的设计 任务与要求:

1、正常情况下保证主干道畅通;

2、当步行街上的行人要穿过主干道时,通过设置的按钮发出请求;

3、按钮被按下时,主干道变为黄灯,设置计数器计时时间3秒;计时结束,主干道变为红灯,计数器继续计时(计时时间为30秒),在20秒内若有人再次按按钮,计数器不重新计时;

3、步行街绿灯闪烁时间为5秒,25秒后主干道变为绿灯,车辆通行。为保证车辆通行时间,在30秒内行人按钮无效。主干道通行时间超过30秒后,若有行人按下按钮,则回到(3)。

25、可变模式计数器设计 任务与要求:

1、设计模为 4、8、12、16的可变计数器;

2、在控制信号的控制下实现变模计数。

26、ADC采样控制器设计 任务与要求:

1、设计程序对AD转换器ADC0809的采样过程进行控制。

27、数码管动态扫描电路设计 任务与要求: 1、8位数码管同时显示电路显示8个16进制数;

2、用动态扫描方式实现。

28、点阵式led显示屏控制电路设计 任务与要求:

1、控制16*16点阵显示字母或汉字;

2、预置四个字母或汉字连续显示(显示内容自定)。

29、病房呼叫系统设计 任务与要求:

1、用1~5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低;

2、用一个数码管显示呼叫信号号码,没信号呼叫时显示0,有多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);

3、凡有呼叫发出5秒的呼叫声;

4、对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。脉冲按键电话按键显示器设计 任务与要求:

1、设计一个具有8位显示的电话按键显示器;

2、能准确地反映按键数字;

3、显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;

4、设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码;

篇6:EDA课程设计 电子琴

----结题报告

学号:110342241

姓名:章译文

一.设计要求

1.能发出1234567基本音。

2.能自动播放《偶像万万岁》和《梁祝》。3.能在数码管上显示弹奏时的音名。

二.设计原理分析

1.音阶的获得

系统要求要求用7个按键控制发声,我们用7个键盘来控制产生低音(或中音)段的7个音阶频率。所有的音名频率都是通过一个基准频率经过分频得到的。但部分分频过大的音频直接输出给扬声器,频率信号脉冲的占空比会非常窄,不能驱动扬声器工作。于是,我们设计了一个“带有预置数的计数器”来简化整个设计过程。将原频率分频成期望值的2倍频率信号。再通过二分频,驱动扬声器工作。

简易电子琴控制流程图

2.MUSICAL_NOTE内部电路

MUSICAL_NOTE内部电路

使用MUSICAL_NOTE电路,实现初值可变的计数器,并对音阶实现二分频,使电子琴实现正常发音。

按键播放部分电路

3.自动播放功能的实现

自动播放部分电路

Hebing.mif文件(偶像万万岁&梁祝)

歌曲长度为352位,利用三个74261设计0~352的计数器,自动寻址,将音频导入lpm_rom,与MUSICAL_NOTE相互作用,实现自动播放。

按键除颤电路原理图

作为机械开关的键盘,在按键操作时,机械触点的弹性及电压跳动等原因,再触点闭合或者开启的瞬间会出现电压的抖动,如果不进行处理就会造成误操作。按键去抖动的关键在于提取稳定的低电平状态,滤去前沿后沿的抖动毛刺。4.在数码管上显示弹奏时的音名

显示部分电路图

Display内部电路

电路中的74161是16进制的计数器,它的低三位接到3—8译码器模块74138的地址输入端,这样就可以实现74138的8个输出端轮流输出低电平,恰好用来控制“位码”DIG7~DIG0。LPM_MUX是QuartusⅡ中的宏模块,用来实现多位的“多选一”,它的数据位数可以以及数据的数量可以任意设定。

电路中使用了与非门,实现发音与现实同时实现,在此要注意的是,因为使用了与非门,静态字符现实数码管管脚的设定值应该与原值相反。

三.设计原理图

简易电子琴原理图

根据以上分析,将三个模块组合,便得到了简易电子琴的整体设计。在整体设计的过程中,要注意三个模块之间的关系,使其能够相互作用,正常发音和显示。

四.实验总结 在这三天的实验过程中,通过对于实验目的的分析,有目标的查找资料,研读教材,在分清楚模块的基础上,写下了实验计划,规划好时间,确定每天要做的事。在实验的第一天,实现了按键发音,同时,也基本弄清楚了自动播放的原理,有了设计思路,第二天上午,完成了自动循环播放的功能,下午在同学的帮助下,确定了数码管显示的基本思路,通过对于以前知识的复习和查找,成功实现了数码管的显示功能。

在实验的过程中,也遇到很多的困难。在自动播放的时候,由于没有修改hebing.mif的进制,音乐总不能正常播放。还有就是在数码管显示的时候,一开始没有弄清与非门的作用,显示的数字总是反着的。后来经过冷静的分析,在老师和同学的帮助下,成功完成了电子琴的设计,实现了所有的功能。当然,设计也还有很多可以完善和改进的地方,在以后的学习过程中,我会更加用心,多做,多思考。

篇7:《EDA课程设计》教学大纲

1、设计一个能进行拔河游戏的电路。

2、电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。

3、游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。

4、亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

5、用数码管显示获胜者的盘数。

教学提示:

1、按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。

2、用可逆计数器的加、减计数输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码器输出,使中间一只二极管发亮。

3、当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移。

4、由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止计数。

5、将双方终端二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计数器进行一次计数,这样得到双方取胜次数的显示。

6、设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零。

设计五 乒乓球比赛游戏机

1、设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。

2、用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。

3、当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。

4、一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。

5、设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。

6、甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球才有效。教学提示:

1、用双向移位寄存器的输出端控制LED显示来模拟乒乓球运动的轨迹,先点亮位于某一方的第1个LED,由击球者通过按钮输入开关信号,实现移位方向的控制。

2、也可用计数译码方式实现乒乓球运动轨迹的模拟,如利用加/减计数器的2个时钟信号实现甲、乙双方的击球,由表示球拍的按钮产生计数时钟,计数器的输出状态经译码驱动LED发亮。

3、任何时刻都保持一个LED发亮,若发亮的LED运动到对方的终点,但对方未能及时输入信号使其向相反方向移动,即失去1分。

4、控制电路决定整个系统的协调动作,必须严格掌握各信号之间的关系。

设计六 交通信号等控制器

1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

2、红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。

3、主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。

4、主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。

5、在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。教学提示:

1、主、支干道用传感器检测车辆到来情况,实验电路用逻辑开关代替。

2、选择1HZ时钟脉冲作为系统时钟。

3、45秒、25秒、5秒定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,每当计满所需时间,即向主控电路输出“时间到”信号,并使计数器清零,由主控电路启、闭三色信号灯或启动另一计时电路。

4、主控电路是核心,这是一个时序电路,其输入信号为:车辆检测信号(A,B,;45秒、25秒、5秒定时信号(C,D,E),其输出状态控制相应的三色灯。主控电路可以由两个JK触发器和逻辑门构成,其输出经译码后,控制主干道三色灯R、G、Y和支干道三色灯r、g、y。

设计七 电子密码锁

1、设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;

2、在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;

3、从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。教学提示:

1、该题的主要任务是产生一个开锁信号,而开锁信号的形成条件是,输入代码和已设密码相同。实现这种功能的电路构思有多种,例如,用两片8位锁存器,一片存入密码,另一片输入开锁的代码,通过比较的方式,若两者相等,则形成开锁信号。

2、在产生开锁信号后,要求输出声、光信号,声音的产生由开锁信号触动扬声器工作,光信号由开锁信号点亮LED指示灯;

3、用按钮开关的第一个动作信号触发一个5秒定时器,若5秒内无开锁信号产生,让扬声器发出特殊音响,以示警告,并输出一个信号推动LED不断闪烁。

设计八 彩灯控制器

1、设计一个彩灯控制器,使彩灯(LED管)能连续发出四种以上不同的显示形式;

2、随着彩灯显示图案的变化,发出不同的音响声。教学提示:

1、彩灯显示的不同形式可由不同进制计数器驱动LED显示完成;

2、音响由选择不同频率CP脉冲驱动扬声器形成。

设计九 脉冲按键电话显示器

1、设计一个具有8位显示的电话按键显示器;

2、能准确地反映按键数字;

3、显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;

4、*设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码;

5、*挂机2秒后或按熄灭按键,熄灭显示器显示。教学提示:

1、利用中规模计数器的予置数功能可以实现不同的按键对应不同的数字;

2、设置一个计数器记录按键次数,从而实现数字显示的移位。

设计十 简易电子琴

1、设计一个简易电子琴;

2、利用实验箱的脉冲源产生1,2,3。。共7个或14个音阶信号;

3、用指示灯显示节拍;

4、*能产生颤音效果。教学提示:

1、各音阶信号由脉冲源经分频得到。

设计十一 出租车自动计费器

1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。99元;

2、行车里程单价1元/公里,等候时间单价0。5元/10分钟,起价3元(3公里起价)均能通过人工输入。

3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1。0元/公里,则脉冲当量为0。01元/脉冲。

4、用LED显示行驶公里数,两个数码管显示收费金额。

教学提示:

1、等候时间计费需将等候时间转换成脉冲个数,用每个脉冲表示的金额与脉冲数相乘即得计费数,例如100个脉冲表示10分钟,而10分钟收费0。5元,则脉冲当量为0。05元/脉冲,如果将脉冲当量设置成与行车里程计费相同(0。01元/脉冲),则10分钟内的脉冲数应为500个。

2、用LED显示等候时间,两个数码管表示等候时间收费金额。

3、用加法器将几项收费相加,P=P1+P2+P3,4、P1为起价,P2为行车里程计费,P3为等候时间计费,用两个数码管表示结果。

设计十二 洗衣机控制器

1、设计一个电子定时器,控制洗衣机作如下运转:定时启动正转20秒暂停10秒反转20秒暂停10秒定时未到回到“正转20秒暂停10秒……”,定时到则停止;

2、若定时到,则停机发出音响信号;

3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;

4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。

教学提示:

1、设计20秒、10秒定时电路。

2、电路输出为“正转”、“反转”、“暂停”三个状态。

3、按照设计要求,用定时器的“时间到”信号启动相应的下一个定时器工作,直到整个过程结束。

建议选用教材和参考书目

选用教材: 《电子技术实验指导书》,李国丽,朱维勇主编。中国科技大学出版社 主要参考书:《电子技术基础

模拟部分》(第四版),康华光主编,高教出版社

篇8:eda综合课程设计

《EDA设计及应用》课程在前期的设计中已按工作导向的思路对整门课程进行开发, 目前总课时60课时, 分为4个项目, 环环相扣逐层递进, 每个项目又分为若干任务。文章以项目二“秒表设计” (14学时) 中的一项任务“计数器数码管驱动电路设计” (3学时) 展开, 进行具体的单元设计。

1 学生特点分析

专业特点:柳州职业技术学院电子技术、电子信息工程技术、通信技术专业的学生是文理兼招的。

个性特点:差异性大。无论从学习的目的、自身基础还是性格特点、对事物感兴趣的方向、处事方式等方面都有着“90后”的个性化。敢于尝试新鲜事物, 敢于挑战。“微”时代来临, 学生的信息来源学习途径更为广泛, 但却更缺乏持之以恒的耐心。

学习情况: (1) 能运用基本语句完成简单的组合逻辑与时序逻辑电路设计; (2) 已完成十进制计数器、六进制计数器设计; (3) 部分学生逻辑思维较强, 对编写程序感兴趣, 能尝试多种方式实现电路的设计;部分学生高中时期学的是文科, 不能理解基本的电路功能, 对程序执行的顺序理解混乱, 换句话就是电路设计及程序编写对于他们来说就是天方夜谭。

针对这些问题, 从他们的兴趣爱好为突破点, 采取团队合作的方式, 每人都可以选择适合自己的分工, 自身的弱项让别人完成或帮助完成, 这样更能发挥他们的个性化优势, 在做事及沟通的过程中得到成功的体验, 从而激励他们继续将任务完成好, 增强抗挫折的能力, 做到“1+1>2”的团队效应。

2 教学目标设置及教学内容优化

2.1 教学目标设置及内容优化

将任务拆分, 重点内容一是数码管驱动电路设计, 二是将计数器与数码管驱动电路整合。通过前期学习, 学生对基本的语言语句及设计思路已经有了模糊的认识, 能够在老师的指引下完成独立的简单电路的设计, 此时一个单元的任务可以进一步系统化, 但仍要以“微”为主, 开始注重模块与模块之间的衔接问题。这个是高职学生与中职学生的差别, 不仅要会做, 更要懂得如何整合。以下从能力目标、知识目标、素养目标三条线展开。

教学内容的选择能支撑学习目标的实现, 并符合学习者认知水平与特点。

在实践的过程中, 学生有了明确的目标, 整个教学过程学习更轻松, 更高效、更愿意参与讨论, 主动性提高了。但是, 仍然存在不少问题, 在之前的分析中曾提到学生的差异, 有部分学生虽然十分努力也乐意沟通, 但仍无法达到预期目标, 对他们来说只能模仿到形式。针对这一问题, 在对后续班级上课时对教学目标进行了新的调整。

2.2 改进教学目标的设置

教学目标设置采取个性化目标的方式。团队合作不是传统意义上的分组教学, 不仅仅是所有成员共同攻克一个难关。在这个团队中, 每个成员都有他自己的重点工作任务, 然后将各成员的工作成果整合从而完成一项大的任务。因此, 在教学目标的设置上要根据“学生特点分析”后得到的信息, 为他们量体裁衣, 制定个性化教学目标[3]。改进后的教学目标设置见表3。

通过这一转变, 那些对于代码和电路十分头疼的学生有了新的目标和突破口, 他们能够充分发挥自己的特长, 为团队和个人的发展出一份力, 自信心和学习兴趣更为浓厚。教学效率提高、教学品质改善, 内涵建设得到了很好体现。实践证明, 此项课程改革激发了学生的主观能动性, 增强了学生的系统设计能力, 提高了学生的工程实践能力, 有效促进了电子信息类创新型人才的培养[4]。

3 教学过程设计

教学过程设计是一个系统设计并实现学习目标的过程, 它遵循学习效果最优的原则, 其目的是为了提高教学效率和教学质量, 使学生在单位时间内能够得到更大提高, 从而获得良好的发展[5,6]。

经过设计的教学环节思路清晰, 对课程的导入部分、主体部分和结束部分等有清晰的描述与安排;教学时间分配合理;教学过程的设计具有一定灵活性和可操作性。

存在问题及改进:若根据改进后的教学目标设置, 那么整个教学过程的设计应进行相应修改。应将产品推介岗位的具体任务加入设计表中, 使得这部分学生的学习更为专业化和系统化。主线:遵循设计、检测、整合原则, 完成电路的整体设计, 如遇到问题, 三位成员将共同探讨完成。推介人员在此过程中主要任务即弄清设计、整合思路, 学会使用仿真、下载完成设计并熟练应用展示软件, 以便向他人介绍团队工作成果。这一环节将在今后的教学过程中加以改进。

4 教学评价设计

教学评价的原则: (1) 注重运用多种形式的评价方式, 能有效促进学生职业能力的培养; (2) 考核方案设计合理, 可操作性强, 形成性评价和总结性评价相结合, 侧重在形成性评价, 提供课程反馈。建立新的考评体系, 突出专业技能训练。对学生以职业岗位能力为重点, 知识考核和能力考核并重, 以能力考核为主, 采用口试与机试相结合[6]。

因一个教学单元的时间有限, 其评价比较粗糙, 一般以一个项目的整体完成情况对团队进行评价, 更为客观合理。本单元设计可以选取一到两组成员粗略的进行考核。以下为整个项目考核的评价设计, 不要求在单元教学内完成, 在项目全部完成后考核即可。

设计一个秒表, 要求能正确进行六十进制秒计数并用数码管显示, 带进位端, 具有清零、调时等功能。

机试及材料提交要求:

(1) 用幻灯片进行作品功能说明并展示设计思路;

(2) 波形仿真并分析;

(3) 完成现场考核内容并回答相关问题;

(4) 考核完毕5分钟内提交作品文件包, 命名 (X号XX班XXX) , 内容包括幻灯片及所有程序文件。

5 总结

针对教学单元进行教学改革, 可以为内涵建设增添不少动力, 由此推动高职教学改革与提高教育教学质量[7]。教学形式新颖、教学过程深入浅出, 启发性强, 营造的教学氛围有利于提升学生学习的积极主动性。完成设定的教学目标、有效解决实际教学问题, 学生思维及能力得到有效提高, 学生学习效果良好。在教学过程设计和评价方面仍有许多需改进的地方, 教学做结合, 渗透职业素养, 达到资源利用最优化, 评价更为客观合理。

参考文献

[1]张丽萍, 潘行心.EDA在高职数字电子技术教学中的应用[J].中国电力教育, 2011, (26) :104.

[2]严小红.行动导向在计算机教学中的运用[J].新课程 (教师) , 2012, (08) :136-137.

[3]傅伟, 袁强, 王庭俊, 等.高职教育行动导向课程体系的特征与要素分析[J].中国高教研究, 2011, (4) :91-93.

[4]黄丽.地方职业技术学院计算机基础课程分层教学的教学策略探析[J].教研探索, 201.

[5]蒋卫平.高职教育行动导向教学的研究与探讨[J].成人教育, 2011, (10) :79-80.

[6]李彬彬.社会工作专业行动导向教学模式的探索与实践——以“小组工作”课实训教学改革为例[J].西南农业大学学报 (社会科学版) , 2012, (9) :170-173.

上一篇:《作三角形》教学反思下一篇:医院制度培训计划