燕山大学EDA课程设计数字跑表

2024-08-05

燕山大学EDA课程设计数字跑表(精选6篇)

篇1:燕山大学EDA课程设计数字跑表

一、设计题目及要求 设计题目:数字跑表

要求:1 具有暂停,启动功能;

具有重新开始功能; 用六个数码管分别显示百分秒,秒和分钟。

二、设计过程及内容 总体设计:

第一,对于要实现的暂停、启动和重新开始功能,需要有一个控制模块完成相关控制。第二由题意可知需要一个分频模块,将实验箱提供的频率转换为100HZ即数字跑表百分秒的频率。第三是计时模块,完成跑表的百分秒、秒和分钟的计时功能。第四由于实验箱提供的数码显示是扫描显示,这就需要一个选时模块。第五部分则是显示模块。详细设计过程:

根据要求,将设计分成五个模块:

1、控制模块:使跑表具有启动、暂停及重新开始的功能;

2、分频模块:将实验箱所提供的频率转换为设计题目所需要的100HZ的时钟脉冲;

3、计时模块:进行百分秒、秒、分的计时,并且将当前时间输出给选时模块;

4、选时模块:从计时器得到当前时间输出给显示模块;

5、显示模块:通过数码管显示时间。

总图如下:

仿真波形:

第一个模块:控制模块

控制模块主要运用了两个D触发器,输入到触发器的时钟信号CLK1频率为2.86Hz,对电路起到了防抖的功能。

START/STOP为启动暂停按钮,当跑表为START状态时CLK端为高电平,Q为1,时钟信号输出,当跑表为STOP状态时CLK端为低电平,Q为0,时钟信号不输出,从而实现开始和暂停的功能。与门可控制时钟信号是否被输出到下一级。

RESET端为全局清零按钮,接到控制模块和计时模块的清零端,负责将计数器清零。当RESET为低电平时,控制模块和总计数器模块清零,跑表重新开始工作。电路图如下:

仿真波形:

第二个模块:分频模块

为了将实验箱提供的1465HZ转换成实验需要的100HZ,我将74161接成15进制计数器,实现分频的功能,转换为100HZ的近似时钟信号。然后将输出的时钟接入到计时模块。电路图如下: 3

仿真波形:

第三个模块:计时模块

计时模块由一个100进制计数器和两个60进制计数器构成,从而实现百分秒向秒、秒向分的计数功能需求。100进制计数器和60进制计数器均采用两个74160,100进制采用并行进位方式,60进制采用整体置数方式。从100进制计数器和60进制计数器这三个输出端分别接出八个端口(百分秒、秒、分的个位及十位分别由四个二进制代码表示),将当前时间代码输送给选时模块,以实现时间的选择和显示。(百分秒个位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D;秒个位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分个位:M0A,M0B,M0C,M0D;分十位:M1A,M1B,M1C,M1D.)电路图如下:

仿真波形:

100进制计数器(count100): 仿真波形:

60进制计数器(count60):

仿真波形:

第四个模块:选时模块

选时模块由四个八选一数据选择器74LS151和一个地址选择器74LS161构成。

地址选择器74LS161接入一个1465 HZ的时钟信号,使能端和清零端接高电平,使其循环工作,产生的一组循环地址码接入到四个八选一数据选择器74LS151上,使其对地址相同的一组数据进行选择,产生四个二进制数CA,CB,CC,CD,即为数码管所要显示的数字的编码。同时,地址选择器74LS161产生一组循环地址码a、b、c,接到数码管的地址端,使其循环显示数字。

第一个74LS151上的输入端为百分秒、秒、分个位及十位的四位二进制的最低位(H0A, H1A ,S0A,S1A, M0A, M1A), 第二个74LS151上的输入端为百分秒、秒、分个位及十位的四位二进制的次低位(H0B,H1B ,S0B,S1B,M0B,M1B), 第三个74LS151上的输入端为百分秒、秒、分个位及十位的四位二进制的第二位(H0C,H1C ,S0C,S1C,M0C,M1C), 第四个74LS151上的输入端为百分秒、秒、分个位及十位的四位二进制的第一位(H0D,H1D ,S0D,S1D,M0D,M1D),通过这四个八位二进制数比较器74LS151选出同一组数(百分秒个位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D;秒个位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分个位:M0A,M0B,M0C,M0D;分十位:M1A,M1B,M1C,M1D)作为输出CA,CB,CC,CD,接到显示模块输入端。电路图如下:

仿真波形:

第五个模块:显示模块

显示模块采用BCD—七段显示译码器7448对实验板上数码管进行驱 动。由选时模块输出的显示数字编码CA,CB,CC,CD接至输入端A,B,C,D,使输出端产生七位译码连接到实验箱公共数据输入端ABCDEDG,从而显示出数据。电路图如下:

仿真波形:

三、设计结论

两周的课程设计很快就结束了,虽然时间很短,但是收获颇丰。通过这次课程设计,我学到了许多关于EDA的知识,学习到了很多EDA的实用功能,更重要的是锻炼了我的实践动手能力,使我深刻地认识到仅仅学习课本上的知识是远远不够的,要多思考,多实践,才能真正把学到的知识用到实际中,而且我也深刻认识到通信专业在各个领域是多么有用武之地,更加使我有了学习深造的动力。

在设计的过程中遇到诸多问题,一个接一个,总结下来还是软件没有学深刻,出了问题也不知道如何排查,波形图一直找不到自己想看到的,后来经过问同学和自己的总结才知道这个仿真的时间要足够长,才能看到自己所需要的部分。让我知道做一件事之前的准备工作是多么重要,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。遇到问题才去翻书查资料,这些都是我以后要改进的地方。

这次的EDA课程设计给了我一次非常重要也非常难得的实践机会,使我可以将平时课本上学习的理论知识应用于实际操作。设计过程多于我这个专业知识还不牢固的很困难,先前两天看了课本学习了软件,每个子模块和波形图出来的都比较顺利,但当做到总图的时候遇到了很多困难,经过询问老师后也都解决了。实验箱的部分比较顺利,由于粗心连错了线,频率也没选对,总之过程很艰难,但最终还是做出来了。最后感谢老师给与我这次宝贵的实践机会!

篇2:燕山大学EDA课程设计数字跑表

题目: 数字跑表

姓名: 班级: 学号: 成绩:

一、设计题目及要求 设计题目:数字跑表

要求:1 具有暂停,启动功能。

具有重新开始功能。用六个数码管分别显示百分秒,秒和分钟。

二、设计过程及内容

拿到题目后,我在图书馆进行了相关书籍的查阅,首先明确了题目中设计项目要实现的功能,再进一步确定实现其功能的组成部分和使用器件,对于本次设计的总体思路,首先是设计一个控制模块,可以使跑表具有启动、暂停及重新开始的功能;然后,利用一个分频模块即15进制计数器得到100HZ的时钟脉冲,接入到一个100*60*60三个计数器的模块中,完成对时间的计时工作和对选时模块的输出工作,使选时模块得到对应的时间,其次将选时模块与显示模块连接,使数码管显示选中的当前时间,从而完成了这次课程设计的设计工作,进入到实现过程中去。

根据课程设计要求将设计分为5个模块:

1、控制模块,使跑表具有启动、暂停及重新开始的功能;

2、分频模块,用于得到频率为100HZ的时钟脉冲;

3、计时模块,进行时间的计时,同时将当前时间输出给选时模块;

4、选时模块,从计时器得到当前时间输出给显示模块;

5、显示模块,进行时间的显示。总图如下: 第一个模块:控制器模块

与门可控制时钟信号的输出与否,当跑表为START状态时CLK端为高电平,QA为1,时钟信号输出,当跑表为STOP状态时CLK端为低电平,QA为0,时钟信号不输出,从而实现开始和暂停的功能。REST是清零按钮,REST接到控制模块和总计时器模块的清零端,当REST为高电平时,控制模块和总计数器模块清零,跑表重新开始工作。

第二个模块:分频器模块

将74161接成15进制计数器,将1465HZ的时钟频率转换成近似于100HZ的时钟信号即所需的输入时钟信号,从而实现分频功能。将得到的时钟信号输入到总计数器模块中去。第三个模块:计时模块

计时模块

本模块由两个60进制计数器和一个100进制计数器构成,从而实现百分秒向秒、秒向分的计数功能需求。60进制计数器及100进制计数器均采用两个74LS160,采用整体置数方式接成。从60进制计数器和100进制计数器这三个输出端分别印出八个端口(秒、分、时的个位及十位分别由四个二进制代码表示),将当前时间编码传送给选时模块,实现时间的选择和显示。(秒个位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分个位:M0A,M0B,M0C,M0D;分十位:M1A,M1B,M1C,M1D;百分秒个位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D.)

100进制计数器

60进制计数器

第四个模块:选时模块

本模块由四个八选一数据选择器74LS151和地址选择器74LS161构成。

地址选择器74LS161接入一个1465 HZ的时钟信号,使能端和清零端接高电平,使其循环工作,产生一组循环地址码A、B、C,接到数码管的地址端,使其循环显示数字。同时,地址选择器74LS161产生的一组循环地址码接入到四个八选一数据选择器74LS151上,使其对地址相同的一组数据进行选择,产生四个二进制数A0,A1,A2,A3,即为数码管所要显示的数字的编码。

第一个74LS151上的输入端为秒、分、百分秒个位及十位的四位二进制的最低位(S0A,S1A, M0A, M1A, H0A, H1A), 第二个74LS151上的输入端为秒、分、百分秒个位及十位的四位二进制的次低位(S0B,S1B,M0B,M1B,H0B,H1B), 第三个74LS151上的输入端为秒、分、百分秒个位及十位的四位二进制的第二位(S0C,S1C,M0C,M1C,H0C,H1C), 第四个74LS151上的输入端为秒、分、百分秒个位及十位的四位二进制的第一位(S0D,S1D,M0D,M1D,H0D,H1D),通过这四个八位二进制数比较器74LS151选出同一组数(秒个位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分个位:M0A,M0B,M0C,M0D;分十位:M1A,M1B,M1C,M1D;百分秒个位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D.)作为输出A0,A1,A2,A3,接到显示模块输入端。

选时模块

第五个模块:显示模块

本模块采用BCD—七段显示译码器7448对实验板上数码管进行驱动。由选时模块输出的显示数字编码A0,A1,A2,A3接至输入端A,B,C,D,使输出端产生七位译码连接到实验箱公共数据输入端ABCDEDG,从而进行数据的显示。

显示模块

三、设计结论

两周的课程设计很快就结束了,虽然时间很短,但是收获颇丰。通过这次课程设计,我学到了许多关于EDA的知识,认识到了EDA的强大功能,更重要的是增强了我的实践动手能力,使我深刻地认识到仅仅学习课本上的知识是远远不够的,必须要多多动手,多多实践,才能真正理解并掌握所学的知识,达到学以致用的目的。同时我也深深地感受到严谨的态度对于科学研究的重要性。由于在设计的过程中,一点点的马虎都可能造成整个系统的瘫痪,所以每一个细节都要认真思考,认真操作,不能有丝 百分的大意。这使我认识到要想做一个科研工作者是多么的不易!自己身上的缺点还有很多,要靠以后艰苦的努力来克服!

这次的EDA课程设计给了我一次非常重要也非常难得的实践机会,使我可以将平时课本上学习的理论知识应用于实际操作。设计的过程是十分艰苦的,由于从未接触过类似的领域,所以刚开始的时候一片茫然,不知道该干些什么。随着研究的逐渐深入,自己渐渐的摸出头绪,掌握了一些规律和方法,设计的成果也逐步成型,最终按照要求完成了设计。在实际操作的过程中,碰到了许多的困难,但最终在老师的耐心指导和同学的热情帮助下,按时完成了任务。在此对老师和同学们表示衷心的感谢!

篇3:燕山大学EDA课程设计数字跑表

关键词:数字跑表,Multisim 10,模块设计法,数字电路

引言

数字跑表是一种采用数字电路技术实现“分”、“秒”、“百分秒”数字显示的现代计时装置, 与传统的机械式秒表相比, 它具有精度高、显示直观、可靠性强、无机械磨损等优点[1], 因而广泛应用于日常生活、工作、体育运动等方面。目前, 数字跑表的功能越来越强大, 其组成也由过去的纯硬件逐渐发展到现在的单片机、可编程逻辑控制器 (PLC) 、复杂可编程逻辑器件 (CPLD) 等可编程器件[2]。笔者在数字电路教学中主要采用计数器等中规模集成电路来组成数字跑表, 由于所用集成器件多而导致总体电路结构不清晰, 连线杂乱导致电路调试和阅读不方便。基于Multisim仿真软件的层次块功能[1], 本文采用模块设计法, 将数字跑表各单元电路设计成模块电路, 再将各模块连线成总电路[2], 不仅使其结构变得清晰明了, 而且各模块间的连线也变得简洁, 既美观又便于阅读;与此同时, 由于各模块电路自成一体, 可以独立设计、调试和仿真, 不仅提高了调试和仿真效率, 还有助于团队分工协作设计, 对于培养学生的团队协作能力具有十分重要的意义。

1 设计任务

(1) 能数字显示百分秒、秒、分钟, 最大计时为59分59.99秒;

(2) 具有暂停/继续功能;

(3) 具有清零/启动功能。

2 系统框图

数字跑表由百分秒信号产生模块、分、秒、百分秒计数模块、显示部分和控制部分组成, 其系统框图如图1所示。其中, 百分秒信号由555定时器组成的多谐振荡器[3]产生;百分秒是100进制, 故由100进制的计数器组成, 而秒和分都是60进制, 由60进制的计数器组成;显示部分由6个数码管构成, 分别显示分、秒和百分秒;控制部分用来实现数字跑表的暂停/继续计时、清零/启动计时功能。

3 各单元电路的设计

3.1 百分秒信号产生模块

振荡器用来产生百分秒信号, 是数字跑表计时的核心, 其频率精度和稳定度决定了计时的准确度, 通常选用石英晶体振荡器, 若计时精度要求不高, 可采用555定时器组成的多谐振荡器[3]。本文采用555定时器组成的多谐振荡器来产生百分秒信号。

在设计百分秒信号产生模块电路时, 可设置0个输入端和1个输出端, 如图2所示。双击百分秒信号产生模块, 在弹出的窗口中点击编辑HB/SC[1]就可对该模块进行内部电路设计, 如图3所示。根据555定时器组成的多谐振荡器的周期公式T≈ln2 (R1+2R2) C[3], 可知, 若T=0.01s, 若令C=1μF, R2=4kΩ, 则R1=6.4kΩ, 取一个固定电阻5 kΩ与一个可调电阻2kΩ串联代替电阻R1。

在仿真调试电路时, 用虚拟示波器来观测百分秒信号输出, 调节可调电阻, 使其周期为0.01s, 如图4所示。

3.2 分、秒、百分秒计数模块

分和秒都采用60进制计数器, 而百分秒采用100进制计数器, 它们都有8个BCD码输出, 1个进位输出, 1个时钟脉冲输入, 1个清零端输入。在设计分、秒、百分秒计数模块电路时, 都设置2个输入端和9个输出端。

60进制计数模块和100进制计数模块都选用中规模集成电路74LS160[4]来实现, 其中100进制计数模块由两级10进制计数器构成, 通过2片74LS160直接级联实现, 如图5所示, U16是个位计数器, 其输出RCO作为十位计数器U17的进位信号, 两者级联实现了100进制计数。

而60进制计数模块则是由一级10进制计数器和一级6进制计数器连接构成, 通过2片74LS160串接实现, 如图6所示, U5为个位计数器, 其输出RCO作为十位计数器的进位信号, 采用反馈置数法[5]将U7和与非门组成6进制计数器, 两者串联实现60进制计数。

在调试计数模块时, 为了加快仿真速度, 将虚拟的函数信号发生器连接到计数模块的时钟信号输入端I01, 并尽量调高矩形波信号的频率, 如1000Hz, 再将清零输入端I02接高电平, 输出端I03~I010连接到两个4输入数码管上, 构成计数模块仿真电路。经过仿真测试, 100进制和60进制计数模块的仿真结果符合设计要求, 调试结果可参见图7。

3.3 显示部分

显示部分采用6个7段显示数码管, 2个一组, 分别显示分、秒和百分秒的个位数和十位数, 若用74LS48译码器, 则对应的是共阴极7段显示数码管[6]。本文采用4输入的BCD解码的7段显示数码管[7], 如图7所示, 最大可以显示59分59.99秒。

3.4 控制部分

控制部分主要由2个单刀开关和1个电阻构成, 用来实现数字跑表的暂停/继续计时、清零/启动计时功能, 如图7所示。其中开关J1若断开, 则百分秒计数模块无时钟信号输入, 数字跑表暂停计时, 而J1闭合后, 时钟信号重新输入, 数字跑表继续计时;其中开关J2若闭合, 相当于分、秒、百分秒计数模块的清零端接地有效, 则数字跑表的6个数码管全部清零, 而J2断开, 计数模块清零端无效, 则数字跑表重新启动计时。

仿真调试控制部分时, 可在上述计数模块仿真电路的基础上添加开关和电阻即可组成仿真电路, 在仿真过程中, 断开或闭合开关J1, 看是否实现了数字跑表的暂停/继续计时功能, 断开或闭合开关J2, 是否实现了数字跑表的清零/启动计时功能, 仿真结果表明控制部分符合数字跑表的设计要求。

4 总电路的仿真调试

上述各单元电路功能仿真调试成功后, 即可将各模块连线成总电路:先将虚拟的函数信号发生器接入百分秒计数模块的时钟输入端;再将百分秒、秒和分计数模块级联, 从而实现百分秒、秒、分的依次进位;然后将各计数模块的输出信号分别接至6个数码管, 实现分、秒和百分秒的数字显示;最后将控制部分接好, 以实现暂停和清零功能, 这样就构成了数字跑表的整体电路, 如图7所示。这里需要说明的是, 在总电路仿真调试时, 先用虚拟的函数信号发生器代替百分秒信号产生模块, 以加快仿真速度, 在总电路调试成功后再将百分秒信号产生模块接入即可。总电路连好线后, 运行仿真测试, 结果表明满足数字跑表的设计指标要求。

5 结束语

利用Multisim的层次块功能, 对数字跑表进行了模块设计, 并较好地完成了该电路的设计指标要求。数字跑表的模块设计, 不仅使总电路结构清晰化, 连线美观化, 而且有效地提高了电路的设计和仿真调试效率。在数字电子技术及数字电路课程设计中都涉及较复杂的电路设计, 而模块设计法巧妙地将看似复杂的总设计任务分解为多个简单的子任务, 减弱了学生初次面对数字电路课程设计时的畏惧心理。同时, 由于模块电路可独立设计、调试和仿真, 还便于团队分工协作设计, 对于培养学生的团队协作能力也具有重要的意义。

参考文献

[1]罗映祥.基于Multisim 9的数字电子钟设计与仿真[J].现代电子技术, 2010, 320 (9) :184-186.

[2]程勇.实例讲解Multisim 10电路仿真[M].第1版.北京:人民邮电出版社, 2010:228-241.

[3]康华光.电子技术基础数字部分[M].第5版.北京:高等教育出版社, 2006:421-423.

[4]师亚莉, 陈东.数字逻辑课程设计实训教程[M].第1版.北京:人民邮电出版社, 2013:187-213.

[5]万琰, 谢海良.基于Multisim 10的任意计数器的设计与仿真[J].世界科技研究与仿真, 2008, 30 (4) :452-454.

[6]董玉冰.基于Multisim 9.0简易数字频率计的设计与仿真[J].长春大学学报, 2009, 19 (6) :6-8.

篇4:燕山大学EDA课程设计数字跑表

【摘要】本文分析了传统的数字电子技术课程设计的不足,阐述了在课程设计中引入EDA技术的必要性和优越性。

【关键词】课程设计 数字电子技术 EDA 实验平台

【基金项目】中央高校基本科研业务费专项资金资助(编号:16CX02035A),中国石油大学青年教师教学改革项目(编号:QN201413),中国石油大学教学实验技术改革项目(编号:SY-B201402)。

【中图分类号】G642【文献标识码】B 【文章编号】2095-3089(2016)07-0239-02

进入21世纪以来,随着微电子技术、电子技术和计算机技术的飞速发展,数字电子技术及其应用向着更为深入、更为广泛的层次扩展。电子产品的设计周期和上市时间日益缩短,电子产品的功能更加丰富,性能更加优良,由此推动了电子系统设计技术向电子设计自动化EDA方向发展,并且对EDA技术及其应用提出了更高的要求。

1.课程设计的背景

中国石油大学(华东)的“电工电子学”课程是“国家级精品课程”,以着重培养学生的系统观念、工程观念、科技创新等基本素质为教学方针。多年来在教学和科研中紧跟电子技术发展的每一个关键时刻,教学组的教师都适时地对内容体系和教材进行更新和完善,坚持不断进行课程改革,取得了丰硕的成果。“数字电子技术课程设计”是为大二学生暑期开设的一门必修课程,它是“数字电子技术基础”和“电子技术实验”等课程的后续课程,主要以培养学生的实践能力和创新精神为目标,加深学生对理论知识的理解,切实提高动手和解决问题的能力。

2.课程设计的选题

针对电子专业的特点,我们在数字电子技术课程设计部分采用了“基于复杂可编程逻辑器件(CPLD)实现电阻、电感、电容的测量”这一题目。测量工作原理是将被测量转换成频率,由CPLD实现频率的计算,并转换成被测量信号后输出显示。电阻、电感、电容经过转化电路,完成电阻/频率(R/ F)转换,电容/频率(C/ F)转换,电感/频率(L/ F)转换。用CPLD测量其频率,具体功能分块包括:多路选择开关、分频器、时间闸门计数器、测量计数器等。

频率测量的主要部件是一个带门控计数端的计数器(测量计数器),被测信号(被测频率)由此计数器计数。如果门控计数器的开门计数时间恰好为1秒,则测量计数器的计数值就是输入信号的频率。若改变开门计数时间,即可改变频率测量的量程。如开门时间为0.1秒,则量程为×10,开门时间为0.01秒,量程为×100,开门时间为0.001秒,量程为×1000。由计数器的数值即可换算电阻、电感、电容的大小。

本课题要求设计一个测量电阻、电感、电容的4位十进制数字显示的数显仪表,根据频率计的测频原理,由测频量程需要,选择合适的时基信号即闸门时间,对输入被测信号进行计数,实现测量的目的。其数显测量范围为0-99990Hz,满刻度量程分为9999、99990两档,手动转换量程,当输入计数值大于实际量程时有溢出指示。

3.课程设计的实现

(1)按照现代数字系统的Top-Down模块化设计方法,提出数字频率计的整体设计方案,并进行正确的功能划分,分别提出并实现控制器、受控器模块化子系统的设计方案。

(2)针对ispLEVER的EDA设计环境,采用Verilog HDL语言,完成受控器模块(测量计数器)的设计,并采用Abel语言编程进行仿真。

(3)在ispLEVER的EDA设计环境中,完成基于Verilog语言实现的控制器模块(闸门计数器,量程开关,选择开关)的设计,并采用Abel语言进行仿真。

(4)基于ispLEVER的EDA设计环境,采用Verilog HDL语言或原理图,完成顶层模块的设计并采用Abel语言编写测试向量文件进行仿真。

4.结束语

通过课程设计的锻炼,学生可以增强综合分析问题及解决问题的能力,激发学习兴趣和潜在的能动性。有学生在总结报告中写道:“通过这次课程设计,我切身体会到给出一个命题,利用Verilog语言编程实现这个命题,并利用软件模拟仿真,看功能是否得以实现的全过程。一方面学到了许多新知识,另一方面使我们对数字电子设计的全过程有了一个全面的了解,同时也深刻感受到利用EDA软件实现电子设计的强大优势。这样的课程设计很适合我们,使我们受益匪浅”。

参考文献

[1]王君红, 刘复玉, 任旭虎. “电工电子学”实验教学模式改革[J]. 实验科学与技术, 2012, 10(5): 76-78.

[2]于云华. 数字电子技术基础[M]. 东营: 中国石油大学出版社, 2008: 392-399.

作者简介:

篇5:燕山大学EDA课程设计数字跑表

摘要:EDA技术结合数字电路课程设计课程是新教育改革的体现,创新的教学模式开启了学生的智慧,增强实践性与逻辑思维,激发学生热情。在阐述了EDA的特征及优势的同时,探究了它与数字电路课程设计的过程,最后对以新课程改革的观点分析课程设计的优势。

关键词:EDA技术 数字电路设计课 新课程改革

引言

随着社会科学技术不断发展,培养四有新人的重任略显重要。在日常生活中随处可见EDA技术的应用,电子信息时代,逐渐被HTML描述性语言代替。传统的理念及设计手段已经不能完全满足现代企业和社会的需求。在EDA(EleCTRonICs Design Automation)技术基础上,融入到数字电路课程是教育时代的要求,也是教学改革的新课程的要求。国家不仅重视创新发展,更注重培养人才,课程设计,直接影响学生的思想和培育。

1.EDA技术的特征及优势

1.1简单易于操作

计算机行业中,软件硬件的应用是相互结合的。那么,关于EDA技术应用的性质特征为整个设计过程简单可操作性强。在此方面涉及方面比较广泛,内容相对丰富,通过硬件描述与软件开发工具,实现特定的测试电路设计,在修改方面也达到便利的效果。

1.2产品的互换性强

EDA技术在设计上实现了逻辑编程器件,应用上可以自动的检测、编辑,以及对一些程序的重新建构,对其进行修改。设计芯片方法灵活性强,有别于传统的设计思路,在使用效率方面得到显而易见的效果。因而,产品的互换性较强。

1.3自动性能高

在传统的设计上,需要技术人员的操作,在人员辅助下操作完成设计。EDA技术设计突破以往的多人操作的难点,实现自动化设计。这不仅在人员调动方面节约了成本,而且实现了自动化设计。在性能上达到优化,测试全过程及及结果将会自动完成。

2.基于EDA技术结合数字电路课程设计的探究

2.1设计方法与要求

EDA在设计方法上遵循技术改革创新方式,将其传统的设计概念中,加入新的焊接模式的转变,达到了计算机自动化的性能;在设计要求上,运用于数字系统中,例如,在设计数字闹钟的过程中,增加了计时、整点报时等功能。在设计流程上,使用芯片也比传统芯片更实用。

2.2适配器件如何应用

这时代,EDA设计的特点,在底层配件上都尽显完善,适配对象包括布局线都进行了逻辑性操作。这增加了仿真设计的效果。根据所需要的设计文件类型,完成自动化设计过程。若设计有误,可自动下载编程,进行修改。可见器件的适配设计在实际应用中发挥其明显作用。

2.3编码电路与译码电路共占195个逻辑单元

数字电路课程设计应用在EDA中,通过目标系统,使用描述性编码完成设计工作,编译码电路在出错后会自动改错,编码电路与译码电路共同实现了逻辑性的功能,这个过程,体现了EDA技术在数字电路中越来越重要。

3.突破传统教学教程,注重能力的培养

3.1跟上时代脚步,注重教程改革

电路数字课程设计是电子信息专业的一门基础课程。教学课程方面,比以往的教学方案中增加更多互动模式,传教方式灵活简单易懂,注重培养学生的实践能力。目前,EDA技术涉及的领域遍布全国,它的发展已经步入科技前沿。

3.2根据社会需要,学以致用

数字电路课程设计,应用于实际生活的每个层面。在学校、医院、楼层、社区、企业、家庭、交通等领域中,随处可见,例如在医院里,病床疾病呼叫,还有密码解锁、楼层内的控灯、触摸延时灯、数字钟、还有循环彩灯以及在交通运输方面使用的交通灯等,要结合实际需求,达到教学与实践相结合。

3.3技术与课程设计相结合,利于能力的培养

DEA技术与数字电路课程设计的结合,在给学生逻辑思维上的灌输通通明朗。不论在教学教程上还是培育学生上都得到了实质性的提高。传统的数字电路课程设计制约了学生的分析能力,固定的教学模式,限制了学习设计思路,及其独立设计与组装的能力。因此,注重教学课程改革与培养实践技能成为发展趋势。

4高校开展EDA技术课程,教育教学不断完善

就目前状况来看,EDA技术的课程与实践课开展的十分普遍,对于高职电子专业人员来说,综合EDA技术的数字电路课程设计综合的实现了学生的应用能力,这是技术理论上的一场革命性的训练。新课程的培养目标理念深厚,这种教学方式,贯彻了“三个代表”的重要思想。

在课程上新改革,例如以往的法务部与税务部的分割线比较明显,而在大时代背景下,需要新型人才,也需要在企业中事倍功半,在以往的教程上综合了法务与税务的知识,在新课程的推动下,出现法务税务师,这不仅节约了人才,而且自身能力提高,实现个人独特的价值。基于EDA技术的数字电路课程设计理念也是如此,为节约人才与新型技术人员的培养提供可行性的策略。

总结

数字电路课程设计思路有两个,一个是仿真电路设计,一个是应用设计印刷电路板。课程设计的教程实践将会实现个人的独立设计与创新能力。能够培养具有逻辑性的思考和解决问题的高素质人才,提高学生积极性与学校热情,是实现基于EDA技术的数字电路课程设计的关键所在。

参考文献

篇6:燕山大学EDA课程设计数字跑表

[关键词]EDA技术 课程设计 教学实践

[中图分类号] G420 [文献标识码] A [文章编号] 2095-3437(2012)10-0113-02

一、独立学院概况

北京科技大学天津学院是2005年经教育部批准,由北京科技大学和广东珠江投资集团有限公司合作举办的本科层次的全日制独立学院。学院依托北京科技大学优质教育资源,实施“应用型”理论教学和以“职业能力培养为主线”的实践教学,培养适应经济和社会发展需要的理论基础扎实、实践技能强、综合素质高并具有创新精神的应用型本科人才。[1]

二、EDA课程概述

EDA技术是在20世纪90年代逐渐成熟的一门新技术技术,它是设计者以计算机为工具,以大规模可编程逻辑器件为载体,以硬件描述语言为系统逻辑描述的主要表达方式,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。

可编程逻辑器件(如CPLD、FPGA)已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

随着EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自控及计算机应用等领域的重要性日益突出。在技术市场与人才市场对EDA的需求在不断提高,产品的市场效率和技术要求也必然会反映到教学和科研领域中来。

三、教学实践内容

(一)教学手段

实践教学是高校一项重要的教学内容,可以培养学生的动手实践能力和创新能力,本课程设计作为一次重要的实践教学,将采用全程实验室教学,并设置每三人一组。小组教学采用先讲后练的原则,并在练习过程中增加师生间的互动性,有问题立即解决,充分调动学生的主观能动性。[2]

EDA技术课程作为电子信息类专业的核心专业课之一,在专业课程体系中它的功能定位是在专业培养目标中起到承上启下的作用。相比于其他课程,EDA应用技术的教学具有自身的规律和独特性。

首先是教学内容,学生应该是先修完数字电路后再来学习EDA应用技术这门课程,所以在讲授的过程中一些重要的理论知识需要学生提前复习。

其次是教学方法。本课程作为实践课程,以实验实践课为主,这就要求以引导性教学为主。对Verilog HDL的教学不会逐条语句讲授,而应结合具体实例讲解最基本的语句现象及其使用方法。

第三就是注重教学实效。数字电路与EDA技术课程的侧重点不同,前者侧重于逻辑行为实现的认知和验证;后者具有很强的实践性,侧重于实用电子系统的设计,侧重培养学生的自主创新的意识和能力,针对性强的实验应该是教学的重要环节。

(二)教学基础知识

EDA技术需要两方面的基础,一是硬件描述语言(Verilog或VHDL),二是FPGA芯片。

本次课程设计的硬件平台是综合性的实验箱,核心模块采用的FPGA芯片是ALTERA的芯片,型号是FLEX EPF10K10LC84-4,该实验箱还包括模拟信号源与数字时钟模块、按键及拨码开关阵列模块、7段数码管和点阵LED显示模块等常见外设。

在开发工具方面采用Quartus2软件平台,该平台ALTERA公司推出的FPGA、CPLD和ASIC的综合性开发软件,它不但支持电路原理图输入和硬件描述语言输入,而且具有完善的仿真功能。本文将Quartus2软件引入EDA课程设计教学环节目的在于提高学生对数字逻辑电路的分析和设计能力。

硬件描述语言常用的有两种,即VHDL和Verilog HDL。相较于VHDL,Verilog HDL更易学易用,可以在很短时间内掌握该语言,所以本次课程设计的采用了Verilog HDL。[3]

(三)教学内容

《EDA应用技术》的教学重点是基于EDA工具的系统设计技术的掌握,包括软件工具的熟练应用、Verilog HDL硬件描述语言、组合逻辑电路和时序逻辑电路的设计仿真。其中难点是应用Verilog HDL语言进行电子系统的设计。

(四)实践内容设计

应根据EDA应用技术课程实践性强的特点,设计由浅入深的实践内容。针对这次课程设计的课时少并结合独立学院学生的实际情况,对应的课程设计的实验步骤如下:

1.入门实验

实验内容的第一个层次——入门实验,是相关的验证性实验。由教师提供详细的设计程序和实验方法,使学生能有章可循、快速入门。要求学生掌握Quarter2软件的2个基本方式(电路原理图输入法、硬件描述语言法)仿真的整个流程。

2.基础实验

实验内容的第二个层次——基础实验,包括基本的组合逻辑电路和时序逻辑电路的设计和仿真。

3.设计实验

实验内容的第三个层次——设计实验,由教师给定设计目标、实现功能等,要求学生自主设计的实验。学生自行完成设计题目所提出的数字系统,并对出现的问题进行修改,直到完成预定的目标。[4]

(五)考核方式

针对《EDA应用技术》课程设计注重理论知识的实际应用和时间性强的特点,课程的考核分为两部分。

(1)平时成绩占40%,包括出勤和平时的实验表现,这可以反映学生的考勤情况及其在学习过程中的态度表现,促使学生遵守课堂纪律和养成良好的学习态度,增强自我管理能力。

(2)EDA课程实训验收成绩占60%,学生要完成教师给定的题目,反映学生的综合设计实践能力以及创新设计能力。验收实训时要演示设计的系统功能,提交实训设计报告,对设计过程进行总结,以及完成实训后的收获感想等。

(六)课程实施的体会

学生因素是实践教学的主体因素,学生的主观参与愿望兴趣和动机知识条件与基础等都是影响学生的关键要素,实践教学的成败最终体现在学生的变化上,所以学生是实践教学的核心。在课程设计的过程中要时刻调动学生的主动性。

由于本课程设计实践性强,相关理论知识的学习需要学生课下完成。由教师指定教材让学生在课下复习数字电路的理论知识,并预习完成基础语法的学习。

EDA技术在现代社会电子工程领域的应用越来越广泛,通过设置《EDA应用技术》课程设计的实训课程,提高了学生的开发和设计能力,使得学生能运用课本中所学到的知识,提高了学生学习的积极性。现代电子设计技术是发展的,相应的教学内容和教学方法也应不断改进,其中一定有许多问题值得我们继续深入探讨。

[ 参 考 文 献 ]

[1] 于洋,霍素彦,杨会来,郝淑珍. 独立学院人才培养目标定位研究[J].文教资料, 2009,10(28).

[2] 胡有林,朱玉梅.独立学院实践教学影响因素研究[J].黑龙江教育,2012,(5).

[3] 潘松,黄继业,陈龙.EDA技术与Verilog HDL[M].北京:清华大学出版社,2010.

[4] 黄科,艾琼龙,李磊. EDA数字系统设计案例实践[M].北京:清华大学出版社,2010.

上一篇:autocad课程总结下一篇:科技创新活动报告