正弦信号

2024-08-12

正弦信号(精选八篇)

正弦信号 篇1

FPGA可以进行真正意义上并行运算,而且,由于是基于硬件,所以运算速率非常高,非常适合用于底层的数据检测采集。Lab VIEW是图形化编程语言,自带函数丰富。采用Lab Vi EW FPGA的方式可以在底层通过硬件完成大量的数据运算,只将运算结果和有效信号数据传递到上位机,从而节省上位机的CPU时间和数据传输的带宽。

1 系统原理

系统首先对采集到的信号每2048个点进行鉴频和鉴幅,若鉴频鉴幅未通过,则将这未通过的2048个点的数据缓存到存储器1中,并覆盖原有数据 ;若通过,则将通过的2048个点的数据缓存到存储器2中,同时,将后续采集到的4096个点分别缓存到存储器3和存储器4中。这样,就会缓存下来一共8192个点,也就是16ms的数据,大于有效数据长度10ms。最后,要将这16ms的数据传到上位机。

2 鉴频和鉴幅检测

鉴频和鉴幅检测是本系统最为重要也是运算量最大的工作。对数据的鉴频和鉴幅检测方式是求取数据的自功率谱,然后判断自功率谱的最大值是否达到门限要求(鉴幅);同时要判断最大值出现的频率点是否在42k Hz处(鉴频)。在实际工程中运用这种方法的前提是信号有良好的滤波或者是假设噪声幅值不大于信号幅值。

自功率谱的计算方法是先对采集到的数据做FFT,然后将计算所得的实部和虚部求平方和。计算FFT是利用Lab VIEW自带的FFT计算V(I函数)。这个VI计算的是逐点FFT,所以首先要设定FFT的长度,如前所述,设长度为2048。当收到2048个点的数据以后,FFT计算VI会算出FFT的值,并把结果逐点输出,这时,再使用Lab VIEW的高吞吐率数学VI对FFT的结果逐点求平方和,即可得到自功率谱。

由于计算所得的自功率谱是对称的,也就是说数据的后半部分实际上对于整个鉴频鉴幅检测是没有意义的。为了节省运算时间,当自功率谱输出前1024个点的数据时,将这1024个点的数据缓存到一个存储器当中去 ;当自功率谱输出后1024个点的数据时,将前1024个点的数据通过一个FIFO输出到鉴频鉴幅函数中,完成对数据的鉴频鉴幅。

鉴频鉴幅函数实际上是通过一个while循环嵌套if循环完成的(图1),原理类似于冒泡法排序。While循环每次向if循环送一个点的自功率谱值和这个值对应的序号,if循环判断选取这个值与上一个值中较大的一个,然后将这个较大的值和对应的序号分别保存到两个功能全局变量中。当1024个点的数据都送到这个函数以后,就能确定最大值与其对应的序号了,这时再判断幅值是否达到门限,就可以完成鉴幅。鉴频检测比鉴幅稍微麻烦,因为程序记录的是频率点,而不是频率值,频率点转换成频率值的公式如下,频率值是42k,采样率是500k,采样点是2048。计算后判断频率点是否对应在42k Hz,也就完成了鉴频检测。

频率值 = 频率点× (采样率/采样点数)

3 并行性的利用与代价

整个系统实际上是以流水的方式在并行工作,每个循环(线程)只完成相对少量的检测计算工作,然后将数据传递到下一个循环(线程)当中,本循环(线程)继续进行原来的工作 ;收到数据的循环(线程)同时也会得到上一个循环(线程)传递的标志位,然后开始自己的工作,而工作结束后,同样将处理后的数据和一个标志位传递到下一个循环(线程),最后关闭得到的标志位。这样,在任意一个时间点,可能有很多个循环(线程)在工作,但是从硬件的角度来看,它们各自占用自己的资源,互不干涉,从而实现了真正意义上的并行工作(图2)。

流水式运行的好处就是可以最大可能的提高系统的工作速率。系统实际上是把整个检测采集的任务分成了几个模块,各个模块间通过FIFO进行数据交流,把原本串行的工作变成了并行。但是,这样付出的代价就是片上资源的占用。各个循环之间是通过FIFO来传递数据的,而FIFO本身占用很大的片上资源。除此以外,循环与循环间的逻辑自锁与互锁也会加大资源的开销。另外,编程的难度也大大增加,多进程本身就是编程的难点,而且编译时间增长,这都是提高系统工作速率带来的影响。这就需要设计人员在设计这个片上系统之前就系统有一个整体的考虑。

4 结论

本系统实现了对正弦脉冲信号的检测采集,通过水中实际测试,可以完成信号的检测,采集到要求的波形(图3)。图 3 采集到的信号

摘要:本文介绍了一种基于Lab Vi EW FPGA的正弦脉冲信号的检测方法。这种方法是在FPGA中进行自功率谱的计算,然后确定信号的频率和幅值,判断出信号是否符合要求,最后将满足要求的信号上传至上位机。该种方法可以在实际中完成对于正弦脉冲信号的检测。

实验五正弦信号发生器设计 篇2

一、实验目的1.熟悉利用QuartusII及其LPM_ROM与FPGA硬件资源的使用方法;

2.掌握LPM模块的重要功能;

3.熟悉MegaWizard Plug-In Manager的使用方法。

二、实验设备

计算机,QuartusII 6.0 版软件,JTAG下载线,EDA实验挂箱(EP1C6Q240C8)。

三、实验原理

设计一8位宽、1024点的正弦信号发生器。

正弦信号发生器的结构由四个部分组成:

1.计数器或地址发生器(10位地址线);

2.正弦信号数据ROM(存放正弦波的采样数据,采样频率20MHz:8位数据线、10位地址线);

3.VHDL顶层设计;

4.D/A转换器(8位)。

四、实验步骤和内容

1.在QuartusII上利用MegaWizard Plug-In Manager功能,调用LPM_ROM函数定制8位宽、1024点ROM,并进行初始化。然后对设计实体进行编辑、编译、综合、适配、仿真。

2.利用QuartusII文本编辑器设计10位二进制计数器,做为地址发生器,对设计实体进行编辑、编译、综合、适配、仿真。

3.利用层次化设计方法设计一8位宽、1024点的正弦信号发生器。

4.D/A转换器采用试验箱配备的DAC0832。

5.引脚锁定和硬件下载测试。引脚锁定后进行编译、下载和硬件测试实验。将实验过程和实验结果写进实验报告。

6.使用SignalTap II对设计的正弦信号发生器进行实测。采样时钟使用系统时钟20MHz。

7.使用在系统存储器数据读写编辑器对设计的正弦信号发生器进行实测,观测结果;

8.实验报告。将实验原理、设计过程、编译仿真波形和分析结果、硬件测试实验结果写进实验报告。

五、思考题

正弦波信号发生器的DSP设计 篇3

1 正弦波信号的产生方式

1.1 采样回放法

通过对已有的标准正弦信号源进行采样得到数据后直接回放或进行变频变幅处理后回放。该方法的关键在于合理设计高性能的硬件电路, 尽量避免信号处理过程中的波形失真, 来确保采样数据的精准性。同时在数字域处理时, 数据的回归点数必须满足Nyquist定理, 以免频谱混迭情况的发生。

1.2 查表法

5402的片内ROM中存有256字的正弦及余弦数据表, 可以通过程序直接调用该表中的数据, 由D/A回放出正弦波。通过MATLAB模拟仿真自己生成的正弦数据表, 不但可以解决频率单一的问题, 还可以增加精度, 并改善系统的兼容性。

1.3 泰勒级数展开法

任一角度的正弦及余弦波都可以展开成泰勒级数, 取前五项的近似公式为:

其中:α为角度值, ω为其对应的弧度值。通过变换的α值, 且利用弧度与频率之间的关系很容易实现变频处理。

1.4 数字正弦振荡器

数字正弦波振荡器的系统函数可表示为:

对应的是在单位圆上有复共轭极点的二阶振荡器, 共扼极点为:P1, 2=e±jω0, 其离散时域脉冲单位冲击响应响应:

实际应用中对于给定的冲激信号所产生的正弦信号对应的差分方程为:

如果系统无阻尼且稳定, 我们不对系统加入冲击信号, 改变y (-2) 的起始值, 从而使系统满足起始条件。这样系统差分方程变为:

fs为采样频率, f0和A分别为正弦波的频率和幅度。这样y (-2) 就决定了正弦波的幅度。而数字频率振荡器产生正弦波的实质就是如何用程序实现上述的差分方程。

2 设计实例

根据数字振荡器的原理, 一个正弦波序列可以通过递归法得到, 系数a、b、k一旦确定后, 就可得到期望频率的正弦序列。

2.1 设计任务

利用TMS320VC5402设计产生一个频率为2kHz的正弦波信号发生器, 并使用汇编语言完成源程序的编写。

2.2 设计方案

为了得到正弦波序列的输出, 可以采用定时中断的方法输出y (n) , 再经过D/A转换和滤波后输出连续的正弦波。

设定采样频率为fs=40kHz, 即通过定时器中断, 每隔25us产生一个y (n) , 则递归的差分方程系数为:

为了便于定点DSP处理, 我们将所有系数除以2, 然后用16位定点格式表示为:

这便是产生2kHz正弦信号的三个系数。

由前面的推导也可以看出, 产生的正弦波频率只是一个相对值, 只有给定了采样频率, 也就是确定了采样点之间的时间间隔后, 才能最终决定模拟频率。为了得到精确的采样频率, 我们用定时器产生25us时间间隔, 获得40kHz的采样频率。定时器的初值计算由下式决定:

式中fCK为DSP时钟频率, fs为采样频率。设定时其预分系数TDDR=0, 则定时器周期寄存器初值PRD为:PRD=fCK/fs-1。本例中, fs=40kHz, fCK=100MHz, 则PRD=2499。

2.3 源程序设计

程序设计首先进行初始化, 初始化包括计算出y[1]和y[2], 定时器相关寄存器设置, 然后开放定时器中断。初始化完成后, 主程序循环等待定时器中断。当程序进入定时器中断服务程序时, 利用前面的y[1]和[2], 计算出新的y[n], 经过D/A转换后, 得到一个正弦信号波形。作为一套完整的程序, 还必须有中断向量表文件和内存定位文件。

汇编语言源程序如下:

3 结束语

正弦信号 篇4

1设计方案

信号发生器是数字设备运行过程中不可缺少的一部分, 以前的信号发生器, 几乎都使用的是分立元件, 产品体积庞大且不方便携带。而现在专用的数字电路信号发生器, 硬件成本高、操作复杂。由于上述原因, 小型、成本低且易用的信号发生器比较实用。基于以上原因, 选择使用Quartus II软件创建项目工程xhfs, 使用自底向上的混合编辑方法并结合ROM宏功能模块设计一个简易正弦信号发生器。选择Cyclone II系列的EP2C8Q208C8器件并进行引脚分配、项目编译、仿真、生成目标文件, 使用EDA实验箱对目标器件进行编程与配置。

正弦信号发生器设计共由四部分组成, 其系统设计框图如图1所示, 包括ROM地址发生器 (六位计数器) 、正弦数据只读存储器ROM和一个八位数模转换电路。当前系统各部分工作所对应的时钟信号都是由系统时钟信号经过分频得到的, 电路系统时钟输入端就可以满足输入方波信号的要求。

ROM地址发生器正弦信号数据存储器八位数/模转换电路。

2正弦信号发生器电路设计

1) 在Quartus II软件中使用向导创建项目工程xhfs

指定目标器件为Cyclone II系列中的EP2C8Q208C8器件。

2) 设计存储器编辑文件sj.mif, 用于存放正弦波形数据

选择菜单“File”→“New”→“Other files”→“Memory Initialization File”, 弹出“设置ROM数据文件大小”对话框, 在这里使用64点8位数据。输入好数据后, 单击OK按钮, 在弹出的mif数据表格。将波形数据填入数据表格中, 表格中任意数据的存储地址为左列数和顶行数之和, 保存并命名当前文件为“sj.mif”。

3) 使用Mega Wizard Plug-In Manager定制正弦信号数据ROM宏功能模块

(1) 选择菜单“Tools”→“Mega Wizard Plug-In Mange”, 在弹出的“创建宏功能模块”对话框中选择“Create a new custom megafunction variation”选项, 即新建一个宏功能模块; (2) 单击Next按钮, 在弹出的“选择ROM宏功能模块”对话框中选择“ROM-1PORT”宏功能模块、“Cyclone II”器件, 输入文件路径和文件名dj.vhd; (3) 单击Next按钮, 弹出“设置ROM地址位宽和数据线宽”对话框。在数据位宽选项中选择“8”、在数据数选项中选择“64”、在时钟类型选项中选择“Auto”、在时钟控制信号选项中选择“Single clock”; (4) 单击Next按钮, 在弹出的“选择数据文件”对话框中选择“Yes, use this file for the memory content data”选项, 接着单击Browse按钮并从弹出的对话框中选择“sj.mif”文件。选中下方的复选框, 即允许通过JTAG口对下载于FPGA中的当前ROM进行测试和读写; (5) 单击Next按钮, 在弹出的“仿真库信息”对话框中可以观察到仿真库的相应信息; (6) 单击Next按钮, 在弹出的“ROM简要信息”对话框中选择生成的类型文件 (包括电路符号文件.bsf) 。单击Finish按钮, 完成当前ROM的创建操作。

4) 在当前项目工程中创建ROM地址发生器文件xhfsq.vhd并生成电路符号xhfsq.bsf。

5) 创建顶层设计文件xhfs.bdf。

将电路符号xhfsq.bsf放置在当前原理图文件中, 连接并命名好输入/输出引脚。将其保存在当前项目工程中, 指定其为顶层文件。选择菜单“Processing”→“Start”→“Start Analysis&elaboration”, 分析当前项目工程设计并检查语法和语义错误。

6) 顶层设计文件编译

首先, 选中菜单“Assignments”→“Device”, 选中适当的逻辑器件;其次, 再选中菜单“File”→“Project”→“Save&Check”, 保存当前文件并进行错误检查;再次, 选中菜单“File”→“Project”→“Save&Compile”, 对当前文件进行编译, 此时则生成相应的烧写文件 (扩展名为.sof) 。最后, 将当前项目中所生的配置文件通过下载电缆下载到EDA实验箱中, 以验证当前项目的正确性。

参考文献

[1]周润景, 图雅, 张丽敏.基于QuartusII的FPGA/CPLD数字系统设计实例[M].北京:电子工业出版社, 2007:239.

正弦信号 篇5

关键词:现场可编程门阵列 (FPGA) ,正弦信号发生器,直接数字频率合成 (DDS)

0 引言

DDS即直接数字频率合成技术, 在1971年, 由美国学者B.Gold和J.Tiercy, M.Rader首次提出, 以数字信号处理为基础, 从相位角度出发的来直接输出所要波形的一种全新的数字技术频率合成方法。自1971年到现在, 直接数字频率合成技术已经由一个新事物逐步发展为一种重要的设计工具。FPGA自从1985年由Xilinx公司推出第一颗现场可编程逻辑组件之后, 已经发展了二十多年。FPGA不仅能够对电子系统小型化、可靠性高等问题进行解决之外, 而且开发周期短、投入低、价格便宜, 使得FPGA成为首选。

1 直接数字频率合成 (DDS) 工作原理

直接数字频率合成 (Direct Digital Frequency Synthesis, 即DDFS, 一般简称DDS) 是从相位角度出发的直接合成所要波形的一种全新的频率合成技术。DDS的主要优点是它能够在微控制器的控制下精确而快速的变换输出频率、相位和幅度, 同样也可以利用DDS技术产生其他任意的波形。其原理如图1所示。

DDS的工作原理是以数控振荡器的方式产生相位、频率可控制的正弦波。电路一般包括基准时钟、幅度/相位转换电路、频率累加器、相位累加器、低通滤波器和数模转换器。相位步进量为输入的频率控制字 (X) , 当作相位累加器的增量;相位控制字通过相位调制器来设置波形的起始相位;系统时钟是对相位调试器、累加器和数模转换器提供时序的控制。

2 DDS正弦波发生器的设计思路

采用DDS技术设计一个信号发生器, 其原理框图如图2所示:

本设计为利用单片机和FPGA实现的DDS正弦波信号发生器, 整个DDS正弦波信号发生器由单片机系统、FPGA系统、模拟系统三部分组成, 系统原理框图如下图所示:

FPGA内部DDS系统的设计:FPGA内部分为四个模块:DDS子系统、4X4键盘接口、地址译码电路、LCD模块接口。根据DDS原理可知, DDS子系统由相位累加器、频率字寄存器、波形数据存储器等几个模块组成。下面先来介绍波形数据存储器的功能:第一, 单片机可以利用并行总线把正弦波波形数据写入存储器;第二, 在相位累加器输出地址的控制下将波形数据按顺序传给高速数模转换器。C8051F360单片机的P1口分时段送出低8位的地址和8位的数据信息。在FPGA内部设计一个8位锁存器可以获得低8位地址。相位累加器是DDS子系统的核心模块, 由32位的累加寄存器与加法器级联而成, 对频率控制字的频率大小进行累加运算, 输出的是波形存储器的地址。由于DDS的频率字为32为, 因此, 频率字寄存器包括4个8位寄存器。将地址译码器发出的片选信号CS2和地址信号A1、A0配合再次译码就能够得到4个寄存器片选信号CS20、CS21、CS22、CS23。为了保证数据传送的可靠性, 片选信号CS20~CS23必须和写信号先或后传入寄存器时钟输入端。单片机模块通过4次写操作将32位的频率字传入频率字寄存器。

单片机控制软件模块的设计:DS信号发生器利用FPGA等组件来完成正弦波形波形的高速产生, 其工作不需要单片机过多干预。单片机子系统模块只要实现键盘的输入、液晶屏的显示、向FPGA发送数据、输出信号的幅值和对直流偏移量的数字控制等功能。单片机控制软件是一个实现单线程、键盘功能的分支程序。

3 实验数据的测试

用存储示波器来测量反向放大器的模拟输出端正弦波信号, 测试频率设为100KHz。输出结果如图4所示。

4 总结

正弦信号 篇6

1 电路工作原理

1.1 三相电路原理

如图1所示, 电路原理框图由振荡分频电路、阶梯波合成电路和有源滤波电路三部分组成。由晶振及外电路形成的高频脉冲波, 经过分频器4060分频, 得到所要的时钟频率信号, 然后将这些信号分别送给A、B、C三相的阶梯波合成电路。每相阶梯波合成电路中的两片4018芯片, 对时钟脉冲信号按移位计数器方式工作, 从而形成阶梯波。又由于A、B、C三相相位相差120°, 所以B相的阶梯波合成要通过A相的来控制, 使B相落后于A相120°;同理, C相的阶梯波合成要通过B相的来控制, 使C相落后于B相120°。为了能使三相相位严格相差120°, 所以再用C相来控制A相的阶梯波合成, 使A、B、C三相平衡。然后每相合成的阶梯波相再分别经过电容隔直, 消除阶梯波中的直流成分, 最后再经过有源滤波和放大, 得到幅值频率高度稳定、失真度小且幅值大小一致、可调, 相位差恒定120°的三相正弦波基准电压信号。

1.2 单相正弦波产生原理

50 Hz三相正弦波参考电压A相信号产生电路见图2[1]。电路由振荡分频电路、阶梯波合成电路和有源滤波电路组成。振荡分频电路由晶振CT和分频器4060组成, 用于产生计数器4018的时钟信号CLK;阶梯波合成电路由计数器4018、权电阻R18~R26、反相器4069构成, 将振荡分频电路输出的时钟信号循环移位后, 使得两片4018计数器的输出端Q1~Q9的输出电平组合不同。在此过程中, 图2中a点处电压Va得到图3所示的阶梯波。

B相正弦波的产生原理与A相的原理相同, 由前面可知B、C两相的4018芯片也要4060分频器提供时钟频率信号, 但B相要落后A相120°, C相也要落后B相120°。由阶梯波合成示意图图3可知, 18个阶梯共360°, 所以每两个相邻的阶梯相差20°, 那么要使B相落后于A相120°, 则要用A相的Q1 (即IC8的5号引脚) 处作为B相的触发信号。所以A相IC8 (4018) 的5 (即Q1) 号管脚经过4069反相后, 接到B相的IC9的1号管脚接控制B相, 这样就可以保证B相落后于A相120°。

C相的产生与B相一样, 用B相的第二个4018计数器芯片的5号引脚作为C相的触发信号。因此B相的第二个4018计数器芯片的5号管脚经反相器4069反相后, 接C相的第一个4018计数器芯片的1号管脚控制C相, 这样就可以保证C相落后于B相120°。为了保持三相相位平衡, 用C相的第二个4018计数器芯片的5号引脚经过反相后, 接到A相的第一个4018计数器芯片的1号引脚, 这样就形成A相控制B相, B相控制C相, C相控制A相, 从而使相位平衡, 最后A、B、C三相分别再经过有源滤波和放大, 这样就形成了幅值频率高度稳定、失真度小, 幅值大小一致且可调, 相位差恒定120°的三相正弦波基准电压信号。

阶梯波合成原理, 其合成实质是电源电压在电阻上的分压, 设Rx为4018计数器输出为“1”的输出端子所连权电阻的并联值, Ry为输出为“0”的输出端子所连权电阻的并联值。不同的脉冲时刻, 两个电阻有不同的值, Va得到不同的分压值 (即Ry两端的电压) , 从而产生阶梯波, 在a点输出2N阶梯所需频率的阶梯波。阶梯波经有源滤波电路后输出高质量且幅值可调的正弦波参考电压信号Va-ref。

图3为阶梯数等于18时阶梯波合成示意图。对于每一个时钟脉冲CLK, 阶梯波合成电路中的两片4018芯片按移位计数器方式工作, 计数器输出Q1~Q9只有一个逻辑变数发生变化。每个输出端输出频率为50 Hz的方波, 时钟信号使9个输出端Q1~Q9中出现高电平的端子依次增加至全为“1”, 然后依次减小到全为“0”。如前所述, 这样图2中a点处电压Va便得到图3所示的阶梯波。

不同的时钟周期, 9个端子输出为“1”的个数不同, 设定合适的权电阻值, 可以得到阶高不同的阶梯波。

1.3 有源滤波电路

有源滤波电路能使有用信号通过而同时抑制无用频率的信号, 在有源滤波中的集成运放还具有电压放大和缓冲的作用。电路采用了运算放大器LF353及电容和电阻组成实现有源滤波, 有源滤波电路图如图4所示。

文中设计采用的是二阶多路反馈低通滤波电路, 分为两个部分, 框P1、P2分别为有源滤波电路和放大电路。前面a点合成的阶梯波先通过电容C32隔直, 消除阶梯波中的直流成分后, 作为有源滤波电路 (P1) 的输入, 经过滤波后, 再通过放大电路 (P2) 进行放大, 通过调节R8的阻值来获得相应的幅值, 最后得到的Va-ref就是幅值频率高度稳定、失真度小且幅值可调的单相正弦波基准电压信号。其传递函数为:

2 电路的参数选择

根据技术要求选择阶梯波的阶梯数2N, 电路中取2N为18阶梯, 故4018芯片的时钟信号CLK的频率fS为基准电压信号的频率fJ乘以阶梯数18, 即fS=fJ×18。分频器4060的不同输出管脚Qi对应不同的分频系数2i, 设晶振的振荡频率为f, 应该按照下式选择分频系数2i, f/2i=fS=fJ×2N。

若想得到三相正弦波参考电压信号的频率为50 Hz, 阶梯数仍为18, 则分频系数2i由下式确定:

若晶振的振荡频率f为3.686 4 MHz, 则上式所确定的分频系数2i等于29。图2中的晶振频率取为3.686 4 MHz, 4060分频器的1管脚输出频率则为900 Hz, 最后输出的正弦波基准电压频率为50 Hz。

权电阻的大小不同, 则阶梯波的阶高不同, 故必须设置合适的权电阻使阶梯波的谐波含量小, 从而使参考正弦波的总谐波失真THD小[2]。将正弦波在半周内分成N个相等的间隔, 求取正弦波在此间隔内的平均值。

为使阶梯波谐波含量较小, 设置权电阻使阶梯波阶高等于正弦波各段的平均值, 即

其中, i=1, 2, …, (N+1) /2;Vm为基准正弦波电压峰值;Vi为第i阶阶梯波的阶高;N为权电阻的个数, 此处对应为9;θ0=π/2N。

V5为最高阶的阶高, 2V5就是电源电压。可得:Vi″=V5-Vi;Vi'=V5+Vi, 其中i=1, 2, 3, 4, 5。

根据电阻分压原理, 可得下式:

其中

由此可以分别计算出权电阻R1~R4与R0之间的比例关系, 确定R0的阻值 (如可选R0=10 kΩ) , 则R1~R4的阻值也就相应确定。最后得到幅值可以调整的正弦波基准电压信号Va-ref。

3 实验波形

图5为此电路产生的三相正弦波电压波形, 可以看出产生的波形效果非常好, 幅值稳定、失真度小、波形平滑, A、B、C三相两两相差120°, 可以将其作为逆变器的输出电压的给定信号。

文中对所设计的三相正弦基准电压信号电路做了理论分析、实验研究, 此电路具有结构简单、容易实现、性能优良等特点, 所生成的基准信号的幅值和频率稳定、波形失真度小且幅值可调。

摘要:设计了一种50Hz三相正弦波参考电压信号产生电路, 分析了电路工作原理及各部分功能, 给出了电路参数的选择方法及实验波形。实验研究表明:此电路具有结构简单、容易实现、性能优良等特点, 所生成的基准信号的幅值和频率稳定、波形失真度小且幅值可调。

关键词:三相正弦波,阶梯波,有源滤波,参考电压信号

参考文献

[1]张友军.400Hz正弦波基准电压信号电路的设计与应用[J].电测与仪表, 2004 (9) .

正弦信号 篇7

关键词:DDS,AD9850,MCU,正弦信号,乘法器

直接数字频率合成DDS (Direct Digital Frequency Synthesizer) 是从相位概念出发直接合成所需波形的一种新的频率合成技术,标志着第三代频率合成技术的出现。目前实现DDS的方式主要有两种:一种采用现场可编程门阵列FPGA (Field Programmable Gate Array),另外一种是是采用专用的DDS芯片。本文讨论了用美国AD公司的AD9850完成正弦信号发生器的设计。

1 DDS的基本原理

图1所示为DDS的基本框图。

相位累加器在时钟fc的控制下以步长FCW作累加,输出数字线性相位序列和相位控制字P相加后对波形存储器ROM寻址,波形存储器ROM输出相应的正弦离散序列,再由数模转换器DAC将其转化为阶梯模拟电压波, 最后由低通滤波器LPF将其平滑为连续的正弦信号f0。

设相位累加器的字长为N,频率控制字为FCW,相位控制加法器的位数为M,则输出正弦信号的频率

输出正弦信号的相移

2 正弦信号发生器的结构框图及工作原理

系统的结构框图,如图2所示。

系统的工作原理:单片机MCU根据按键的操作,产生相应的频率控制字FCW、相位控制字P和幅度控制字D,并将相应的信息显示在液晶显示屏上。FCW和P控制字以并行方式输入到DDS芯片AD9850, AD9850产生的正弦阶梯模拟电压和幅度控制字D送入乘法器AD538AD。乘法器完成正弦阶梯波的幅度调节,最后由低通滤波器LPF将其平滑为连续的正弦信号f0。

3 正弦信号发生器的设计

3.1 AD9850介绍

AD9850内含DDS系统和高速比较器,图3是AD9850内部功能图。

AD9850采用32位的相位累加器将信号截断成14位输入到正弦查询表,查询表输出10位正弦幅度码输入到DAC, DAC再输出两个互补的电流。DAC满量程输出电流通过一个外接电阻R1调节,调节关系为I=32 (1.148V/R1) ,R1的典型值是3.9KΩ。

3.2 单片机与AD9850的接口电路

单片机采用AT89C52,作为控制中心,它负责DDS控制字的产生和频率刷新;同时输出幅度控制信号,调节DAC转换器的输出电压,从而实现调节DDS输出信号的幅度。

AD9850有40位控制字,32位用于频率控制,5位用于相位控制,1位用于电源休眠(Power down)控制,2位用于选择工作方式。这40位控制字可通过并行方式输入到AD9850,图4是控制字并行输入的控制时序图。在并行装入方式中,通过8位总线[A0..D7]将可数据输入到寄存器,在重复5次之后再在FQ-UD上升沿把40位数据从输入寄存器装入到频率/相位数据寄存器,同时把地址指针复位到第一个输入寄存器。接着在W-CLK的上升沿装入8位数据,并把指针指向下一个输入寄存器,连续5个W-CLK上升沿后,W-CLK的边沿就不再起作用,直到复位信号或FQ-UD上升沿把地址指针复位到第一个寄存器。

I/O方式的并行接口电路比较简单,但占用单片机资源相对较多。AD9850的数据线D0~D7与P1口相连,FQ_UD和W_CLK分别与P3.0和P3.1相连。单片机与AD9850的接口电路如图5所示。

3.3 幅度调节电路

AD9850输出的正弦信号幅度固定,为了方便、大幅调节输出信号的幅度,系统设计了幅度调节电路,如图6所示。

单片机根据按键的操作,输出数字幅度控制字D送入串行模转换器MAX539, MAX539将幅度控制字D转换为相应的模拟电压。MAX538输出模拟幅度控制信号加到乘法器AD538AD的UY脚。在图6中,AD538AD系统的传输函数U0=UZ*UY/(10V), AD9850输出信号的幅度V-OUT为1V,因此正弦信号的输出幅度值由MXA539幅度控制字D来决定,即实现了输出信号的幅度调节。

3.4 LPF电路

AD9850输出的阶梯模拟电压波, 最后由低通滤波器LPF将其平滑为连续的正弦信号f0。

图7是LPF电路。

4 结论

本系统采用专用DDS芯片AD9850加通用单片机AT89S52实现了正弦信号发生器。实验证明:该正弦信号发生器具有精度高、频率范围宽、频率输出稳定、幅度误差小、体积小和性价比高的特点,可广泛应用于日常教学和科研工作。

参考文献

[1]李伟英, 钟新跃, 谢四莲.基于DDS技术的信号发生器设计与实现[J].电字工程师, 2008, 34 (5) :35-37.

[2]陆原, 刘国英, 崔帅.一种基于DDS的幅值可调信号发生器的设计[J].国外电子元器件, 2008 (6) :23-25.

[3]殷雷, 金海军, 李映雪, 等.基于DDS的高精度函数信号发生器的研制[J].现代电子技术, 2009, 288 (1) :68-73.

正弦信号 篇8

椭圆球面波函数有效地解决了时限和带限这对矛盾,并且具有正交完备性和最佳能量聚集性[1,2,3,4,5,6]。因而,在通信领域,特别是在无线通信领域具有广阔的应用前景,受到了越来越多的关注。

基于椭圆球面波的非正弦调制频带利用率高,可快速接近理论极限值2 Baud/Hz,频带利用率性能优于正交频分复用技术,是一种极具发展前途的调制方法[7,8]。但是,基于椭圆球面波的非正弦调制信号存在峰均功率比大的问题。峰均功率比大会带来很多问题,如增加模/数变换和数/模变换的复杂度,降低射频功率放大器的有效性,直接影响通信系统的运行成本和运行效率[9]。为此,提出了降低基于椭圆球面波的非正弦调制信号峰均功率比方法。

1 基于椭圆球面波的非正弦调制原理

基于椭圆球面波的非正弦调制原理见图1。

如图1所示,0、1发送数据流D1(k)经数据映射为-1、1数据流D2(k),串行数据流D2(k)经串并变换为并行数据流d0(k)、d1(k)、…、dM-1(k),并行数据流d0(k)、d1(k)、…、dM-1(k)与椭圆球面波时域正交脉冲集中相应的脉冲信号x0(t)、x1(t)、…、xM-1(t)相乘,乘积相加,可得基于椭圆球面波的非正弦调制信号s(t)。

基于椭圆球面波的非正弦调制信号s(t)的数学表达式如下:

椭圆球面波时域正交脉冲集中的脉冲信号具有如下关系:

2 基于PSWF的非正弦调制信号性能分析

2.1 理论分析

基于椭圆球面波的非正弦调制信号是由许多经过调制的椭圆球面波脉冲信号相加而成,每个椭圆球面波脉冲信号由不同的数据符号独立调制。由于传送的数据是一个随机过程,基于椭圆球面波的非正弦调制信号也是一个随机过程。根据中心极限定理,如果经过调制的椭圆球面波脉冲信号数量很多,基于椭圆球面波的非正弦调制信号的幅值则服从高斯分布。为了提高基于椭圆球面波的非正弦调制方法的频带利用率,通常需要数量很多,并经过调制的椭圆球面波脉冲信号相加。因此,基于椭圆球面波的非正弦调制信号峰均功率比很大。

2.2 仿真分析

假设信道中心频率fc为20 kHz,信道带宽W为200 Hz,脉冲信号持续时间T为0.07 s,选取前26个最大特征值相应的椭圆球面波脉冲信号构成椭圆球面波时域正交脉冲集。根据基于椭圆球面波的非正弦调制原理,可得基于椭圆球面波的非正弦调制符号:

(1)基于PSWF的非正弦调制符号时域波形如图2所示;

(2)基于PSWF的非正弦调制符号最大幅值(归一化)概率如图3所示;

(3)基于PSWF的非正弦调制符号最大幅值(归一化)分布如图4所示;

(4)基于PSWF的非正弦调制信号峰均功率比与脉冲信号持续时间和脉冲信号选取数量的关系如表所示。

根据图2、图3、图4和表1,可以得出如下结论:

(1)峰均功率比大,并且随着脉冲信号持续时间的延长,脉冲信号选取数量的增多,峰均功率比不断增大;

(2)峰均功率比大的调制符号只占总调制符号的一部分,并且随着脉冲信号持续时间的延长,脉冲信号选取数量的增多,峰均功率比大的调制符号所占比例越来越小。

3 降低调制信号峰均功率比方法

根据对基于椭圆球面波的非正弦调制信号性能分析可知,基于椭圆球面波的非正弦调制信号峰均功率比大。但是,峰均功率比大的调制符号只占总调制符号的一部分,并且随着脉冲信号持续时间的延长,脉冲信号选取数量的增多,峰均功率比大的调制符号所占比例越来越小。所以,只要选择峰均功率比低于期望值的调制符号进行传输,就能达到降低峰均功率比的目的。

根据表1和图4可知,当T=0.07 s、M=26时,基于椭圆球面波的非正弦调制信号峰均功率比为21.886,调制符号归一化最大幅值小于等于0.7的概率为0.926 71,调制符号归一化最大幅值小于等于0.6的概率为0.586 26。也就是说,根据提出的降低基于椭圆球面波的非正弦调制信号峰均功率比方法,如果将峰均功率比降低到10.724 1时,可用于传输的调制符号占总调制符号的92.671%;如果将峰均功率比降低到7.879时,可用于传输的调制符号占总调制符号的58.626%。可见,峰均功率比降低得越多,可用于传输的调制符号占总调制符号的百分比越低。在峰均功率比降低幅度相同的情况下,随着脉冲信号持续时间的延长,脉冲信号选取数量的增加,可用于传输的调制符号占总调制符号的百分比越来越大。

4 结束语

在分析了基于椭圆球面波的非正弦调制信号时域性能的基础上,提出了通过选择峰均功率比低于期望值的调制符号进行传输,达到降低峰均功率比的方法。该方法只对传输的调制符号进行选择,没有对调制符号波形进行改变。所以,不仅能有效降低调制信号的峰均功率比,而且不会降低通信系统的误码性能,也不会导致调制信号功率谱展宽和功率谱集中度变差。

参考文献

[1]LIN Zhi-yue,McCALLUM R W,WANG Hong-yu.Computation and performance of the prolate-spheroidal wavefunction window in spectral estimation[C]//IEEEInternational Conference on Acoustics,Speech,and SignalProcessing,1996,5:2976-2978.

[2]JITSUMATSU Y,KOHDA T.Prolate spheroidal wave functionsinduce Gaussian chip waveforms[C]//IEEE InternationalSymposium on Information Theory,2008:1363-1367.

[3]WEI L,KENNEDY R,LAMAHEWA T.An optimal basis ofband-limited functions for signal analysis and design[C]//IEEE Transactions on Signal Processing,2010,99:1-10.

[4]WEI Li-ying,KENNEDY R A,LAMAHEWA T A.Furtherresults on signal concentration in time-frequency[C]//IEEEInternational Conference on Acoustics Speech and SignalProcessing,2010:4082-4085.

[5]WU Chang-guo,JIANG Gang-xing,ZHU Hong-bo.SSArealization for spectrum shaping and NBI suppression incognitive UWB radios[C]//International Conference onMicrowave and Millimeter Wave Technology,2008,3:1454-1457.

[6]SUN Jie,GUPTA D V,LAI Zhi-guo,et al.Indoor transmissionof multi-gigabit-per-second data rates using millimeterwaves[C]//IEEE International Conference on Ultra-Wideband,2009:783-787.

[7]赵志勇,王红星,钟佩琳,等.基于频-时域的非正弦波通信系统设计方法[J].电讯技术,2010,50(4):29-33.

[8]王红星,赵志勇,刘锡国.非正弦时域正交调制方法[P].中国专利:101409697A,2009-4-15.

上一篇:《商业建筑》等下一篇:优化解题策略教学例谈