基带处理器

2024-06-30

基带处理器(精选八篇)

基带处理器 篇1

由于RFID标签芯片及其控制器要求具有低成本、低功耗的特性[4],因此本文提出一种符合ISO18000-6B协议,并满足低成本、低功耗要求的高频RFID标签芯片数字基带处理器的设计。

1 数字系统结构图

根据ISO18000-6B协议,从阅读器到应答器的数据传送通过对载波的幅度调制(ASK)完成,数据编码为通过生成脉冲创建的曼彻斯特码编码,速率为40 kb/s;标签返回给阅读器的数据通过FM0编码调制后发送至模拟前端,经由天线发送至阅读器。

所设计的数字系统结构图如图1所示,主要完成以下功能:(1)对前向链路解调输出信号进行曼彻斯特码解码,给出解码输出时钟,解析出再同步信号;(2)对解码出的数据进行CRC校验,确认数据传输和标签解调的正确性,并且同时对解码输出数据进行串并转换,以及解析出正确的命令;(3)根据ISO18000-6B协议的全部功能要求对接收的指令进行正确处理;(4)根据协议的要求对存储器进行正确读写操作;(5)对处理完毕的数据进行组织,生成CRC校验码;(6)对回送数据进行FMO编码,回送给射频模拟前端进行调制。

在设计中,有限状态机的设计是数字部分设计的核心,其功能是协调模块之间数据与信号交互、处理接收到的指令及其相应的数据、转换自身状态、执行对碰撞计数器和静默计数器的操作、执行对存储器的读写存储操作、规定反向散射标签的64位UID以及MTP存储器内容,并和外围模块电路一起构成防碰撞电路,实现防碰撞算法。

2 低功耗设计

电路中耗散的能量可以分为静态功耗和动态功耗。形成静态功耗的主要原因是晶体管中从源极到漏极的亚阈值泄漏,就是指阈值电压的降低阻止了栅的关闭。动态功耗分为开关功耗和内部功耗。开关功耗是由于器件输出端的负载电容的充放电引起的。负载电容包括了门和线的电容。内部功耗指在器件内部耗散的能量,主要由瞬时短路所引起。

数字部分实现低功耗,可以从系统级和RTL代码级两方面考虑。本设计中采取降低功耗的有效措施包括:降低电源电压,降低时钟频率,门控时钟技术,组织模块的设计方法。

2.1 同步化不同时钟的设计方案

当系统中有两个或两个以上不同时钟时,数据的建立和保持时间很难得到保证,会面临复杂的时间问题。最好的方法是将不同的时钟同步化,由于标签数字基带电路中的编码器设计中需要编码输入时钟160 k Hz和编码输出时钟320 k Hz,所以不同的触发器使用不同的时钟。为了系统稳定,用系统时钟1.28 MHz将160 k Hz和320 k Hz时钟同步化,如图2所示。1.28 MHz的高频时钟将作为系统时钟,输入到所有触发器的时钟端。160 MHz_EN和320 MHz_EN将控制所有触发器的使能端。即原来接160 MHz时钟的触发器,接1.28 MHz时钟,同时160 MHz_EN将控制该触发器使能,原接320 MHz时钟的触发器,也接1.28 MHz时钟,同时320 MHz_EN将控制该触发器使能。这样就可以满足编码器的时钟同步要求。

图2为同步化不同时钟的电路设计方案。

2.2 降低电源电压

动态功耗和电源电压的平方成正比,故降低电源电压是减少功耗的有效办法,但是降低供电电压,会带来很多副作用:首先,降低供电电压,会导致速度下降,减小电容充放电的电流或负载驱动电流;其次,会导致较低的输出功率或较低的信号幅度,从而产生噪声和信号衰减的问题。研究表明:降低阀值电压,可以使得动态功耗减少,但会增大静态功耗。考虑到数字控制部分和存储器的功能,Vdd=1 V是达到较小的动态和静态功耗的一个很好的折中电压。

设计中采用的是台积电提供的0.18μm数字标准单元,标准工作电压为0.9 V~1.1 V。而EEPROM工作电压为0.9 V~1.2 V@读数据/1.8 V@写数据,所以进行写操作时需要用到电平转换将1.0 V转换到1.8 V的电压,以便进行数据的交互。

2.3 门控时钟的设计

为了降低芯片的功耗,设计中使用了门控时钟:用使能信号控制寄存器的时钟端,当使能信号有效时时钟翻转,否则时钟保持在固定电平。因此时钟使能可以将电路中的部分电路处于空闲状态,阻止寄存器内部翻转和寄存器之间组合逻辑开关动作,以达到节省功耗的目的。图3所示为门控时钟的设计方案。

表1给出利用综合工具Design Compiler对当前设计进行综合后的功耗和面积报告。可以看出,本设计使用门控时钟后,总的动态功耗降低了很多,并且在降低功耗的同时,面积也有了一定的减小。

2.4 组织模块设计方法

由于在设计中并不是所有的模块都同时工作,而是在某一个状态下,只开启一个或几个模块,其他模块处于关闭状态,所以如果有效组织模块的开关,将会减少寄存器的开关翻转动作。设计中利用有限状态机根据不同的指令和状态转换开启不同的模块来完成数据的处理要求和存储操作:当接收前向数据时,开启编码器、CRC计算/校验、和串并转换;当处理数据时,开启模块有限状态控制机、EEPROM控制模块、静默计数器、随机数产生器;当返回数据时,开启模块有限状态控制机、EEP ROM控制模块、数据输出控制端、编码器其他模块关闭。由于每个模块在某个状态下才开启,其他状态下关闭,故减少了不必要的开关动作,从而有效降低了功耗。

3 芯片测试

首先采用FPGA完成芯片的功能验证,以FPGA的可编程逻辑阵列为基本单元,实现ISO18000-6B的数字基带功能的硬件仿真验证。然后使用ASIC芯片设计EDA工具将RTL顶层描述映射为基于TSMC提供的目标工艺库的基本数字单元的物理电路,并生成CAD版图且提交给TSMC半导体工厂制作出来。

进行芯片测试时,利用先施阅读器产生RFID各种命令信号,经解调后输入到待测试芯片的数据输入端。芯片在电源、时钟源信号、复位信号的共同激励下进入正常工作状态并对输入命令数据进行响应,将数据输出到调制电路,然后反射回阅读器。阅读器根据接收到的信号决定下一步操作。在阅读器和待测芯片的交互过程中,可用逻辑分析仪观察中间过程。图4为先施阅读器对测试芯片发送read命令时,用逻辑分析仪捕捉的内部信号,其中信号data_in为解调器解调出的前向链路数据,信号data_out为芯片的返回数据。

从已流片芯片的测试结果看,标签芯片数字系统的设计很好地完成了符合ISO18000-6B协议的所有强制命令以及读写操作和锁存、查询锁存等基本功能,且在阅读器存盘操作下的平均速率为45~60张/s,功耗为3.10μW,很好地完成了低功耗无源电子标签的设计。

摘要:设计了一种符合ISO18000-6B协议的超高频无源电子标签的数字基带处理器,芯片采用TSMC0.18μm1P5M嵌入式EEPROM的混合CMOS工艺实现,己成功通过流片,并对其进行了验证和测试。从测试结果看,本芯片完成了符合ISO18000-6B协议的所有强制命令以及部分建议命令,达到完成标签盘存操作、读写操作以及锁存和查询锁存等基本功能。

关键词:超高频,电子标签,低功耗,射频识别

参考文献

[1]FINKENZELLER K.RFID Handbook:fundamentals and applications in contactless smart cards and identification.2nd Ed,Wiley,2003.

[2]KARTHAUS U,FISCHER M.Fully integrated passive UHF RFID transponder IC with1617L W mini mum RF input power[J].IEEE Journal of Solid-state Circuits,2003,38(10):1602-1608.

[3]GLIDDEN R.Design of ult ra-low-cost UHF RFID tags for supply chain applications[J].IEEE Communication Maga-zine,2004,42(8):140-151.

数字基带信号实验报告 篇2

专业班级:

指导老师:李 敏

姓 名:

学 号:

实验一 数字基带信号

一、实验目的

1、了解单极性码、双极性码、归零码、不归零码等基带信号波形特点。

2、掌握AMI、HDB3码的编码规则。

3、掌握从HDB3码信号中提取位同步信号的方法。

4、掌握集中插入帧同步码时分复用信号的帧结构特点。

5、了解HDB3(AMI)编译码集成电路CD22103。

二、实验内容

1、用示波器观察单极性非归零码(NRZ)、传号交替反转码(AMI)、三阶高密度双极性码(HDB3)、整流后的AMI码及整流后的HDB3码。

2、用示波器观察从HDB3码中和从AMI码中提取位同步信号的电路中有关波形。

3、用示波器观察HDB3、AMI译码输出波形。

三、实验步骤

本实验使用数字信源单元和HDB3编译码单元。

1、熟悉数字信源单元和HDB3编译码单元的工作原理。接好电源线,打开电源开关。

2、用示波器观察数字信源单元上的各种信号波形。

用信源单元的FS作为示波器的外同步信号,示波器探头的地端接在实验板任何位置的GND点均可,进行下列观察:

(1)示波器的两个通道探头分别接信源单元的NRZ-OUT和BS-OUT,对照发光二极管的发光状态,判断数字信源单元是否已正常工作(1码对应的发光管亮,0码对应的发光管熄);

(2)用开关K1产生代码×1110010(×为任意代码,1110010为7位帧同步码),K2、K3产生任意信息代码,观察本实验给定的集中插入帧同步码时分复用信号帧结构,和NRZ码特点。

3、 用示波器观察HDB3编译单元的各种波形。

仍用信源单元的FS信号作为示波器的外同步信号。 (1)示波器的两个探头CH1和CH2分别接信源单元的NRZ-OUT和HDB3单元的AMI-HDB3,将信源单元的K1、K2、K3每一位都置1,观察全1码对应的AMI码(开关K4置于左方AMI端)波形和HDB3码(开关K4置于右方HDB3端)波形。再将K1、K2、K3置为全0,观察全0码对应的AMI码和HDB3码。观察时应注意AMI、HDB3码的码元都是占空比为0.5的双极性归零矩形脉冲。编码输出AMI-HDB3比信源输入NRZ-OUT延迟了4个码元。

(2)将K1、K2、K3置于0111 0010 0000 1100 0010 0000态,观察并记录对应的AMI(3)将K1、K2、K3置于任意状态,K4先置左方(AMI)端再置右方(HDB3)端,CH1码和HDB3码。 接信源单元的NRZ-OUT,CH2依次接HDB3单元的DET、BPF、BS-R和NRZ ,观察这些信号波形。观察时应注意:

HDB3单元的NRZ信号(译码输出)滞后于信源模块的NRZ-OUT信号(编码输入)8个码元。

DET是占空比等于0.5的单极性归零码。

BPF信号是一个幅度和周期都不恒定的准正弦信号,BS-R是一个周期基本恒定(等于一个码元周期)的TTL电平信号。

信源代码连0个数越多,越难于从AMI码中提取位同步信号(或者说要求带通滤波的Q值越高,因而越难于实现),而HDB3码则不存在这种问题。本实验中若24位信源代码中连零很多时,则难以从AMI码中得到一个符合要求的稳定的位同步信号,因此不能完成正确的译码(由于分离参数的.影响,各实验系统的现象可能略有不同。一般将信源代码置成只有1个“1”码的状态来观察译码输出)。若24位信源代码全为“0”码,则更不可能从AMI信号(亦是全0信号)得到正确的位同步信号。

四、 实验报告要求

1. 根据实验观察和纪录回答:

(1)不归零码和归零码的特点是什么?

(2)与信源代码中的“1”码相对应的AMI码及HDB3码是否一定相同?为什么?

答:1)不归零码特点:脉冲宽度 τ 等于码元宽度Ts归零码特点:τ <Ts

2)与信源代码中的“1”码对应的AMI码及HDB3码不一定相同。因信源代码中的“1”码对应的AMI码“1”、“-1”相间出现,而HDB3码中的“1”,“-1”不但与信源代码中的“1”码有关,而且还与信源代码中的“0”码有关。

2. 设代码为全1,全0及0111 0010 0000 1100 0010 0000,给出AMI及HDB3码的代码和波形。

答:信息代码 1 11 1111

AMI 1 -11-1 1 -1 1

HDB31 -11-1 1 -1 1

信息代码0 0 0 00 0 0 00 0 0 00

AMI 0 0 0 00 0 0 00 0 0 00

HDB30 0 0 1-1 0 0 1 -1 0 0 1 -1

信息代码 0 1 1 10 0 1 00 0 0 01 1 0 00 0 1 00 0 0 0

AMI0 1 -1 1 0 0 -1 0 0 0 0 01 -1 0 0 0 0 1 00 0 0 0

HDB3 0 1 -1 1 0 0 -1 0 0 0-1 0 1 -1 1 0 0 1 -1 0 0 0 –1 0

3. 总结从HDB3码中提取位同步信号的原理。

答:HDB3中不含有离散谱fS(fS在数值上等于码速率)成分。整流后变为一个占空比等于0.5的单极性归零码,其连0个数不超过3,频谱中含有较强的离散谱fS成分,故可通过窄带带通滤波器得到一个相位抖动较小的正弦信号,再经过整形、移相后即可得到合乎要求的位同步信号。

4. 试根据占空比为0.5的单极性归零码的功率谱密度公式说明为什么信息代码中的连0码越长,越难于从AMI码中提取位同步信号,而HDB3码则不存在此问题。

基带处理器 篇3

短波信道具有时变衰落和多径时延的特性, 特别是短波信道受电离层影响很大[1,2], 信道相干带宽窄, 对无线通信的可靠性提出了挑战。为了改善通信系统的通信可靠性和通信性能, 可以利用多输入多输出 (MIMO) 技术来提高通信系统的频谱利用率和链路可靠性。

1MIMO技术

天线阵列在发射机和接收机中都使用, 称为多输入多输出 (MIMO) 系统[3]。研究表明, 在通信系统中使用阵列天线能够增加信道容量和频谱利用率, 扩展覆盖范围, 减小多径衰落和同信道干扰, 降低误比特率。如果在发射机和接收机中都使用多天线, 并且多径散射足够丰富并被合适利用, 那么就可以使无线通信中可靠传输的速率成倍增加, 也就是说使信道达到很高的容量。

一个典型的空时无线系统, 有M个发送天线、K个接收天线。输入数据首先进行空时 (ST) 编码, 然后进行空间、时间和频率交织, 再映射成符号 (如QAM等) , 产生M个输出。这M个符号流可以被预滤波, 然后进行脉冲整形, 调制成并行的RF带通信号, 通过M个天线发送。发送的信号通过无线信道, 经受衰落, 到达接收天线。信号加噪声被K个天线接收, 经匹配滤波、抽样, 产生K个输出, 然后进行后滤波, 最后进行ST解交织和ST译码以恢复原始数据。

2MIMO的关键技术及实现

在SDM空分复用技术中, 通过空间复用能够带来复用增益, 以提高信息传输速率;通过空间分集能够克服信道衰落, 以提高无线传输的系统可靠性[4]。所以在系统中, 应根据环境的不同, 选择恰当的信息传输速率和分集增益, 获得最优的适合岸基通信的MIMO方案。

2.1 最大合并比技术

接收分集是用两副或者多副大于相关距离的天线, 同时接收几个不同路径的信号, 将这些信号适当合并构成总的接收信号, 以减小信道衰落的影响。接收分集技术一般可以分为最大比率合并 (MRC) 、等增益合并 (RGO) 、选择分集合并 (SDC) 和反馈分集合并 (FDC) 4种类型[5]。其中, 最大比率合并技术的抗衰落统计特性是最佳的, 所以选用MRC技术实现接收分集。

2.2 空时分组码

空时编码, 是Alamouti提出的一种发送分集实现方案, 是一种为了提高无线链路的可靠性而在多个发射天线之间进行的编码策略。信号经过空时编码被映射到各个发射天线, 接收端采用某种译码算法恢复发射信号。空时编码大致分为两大类:空时分组码 (STBC) 和空时格形码 (STTC) 。由于STTC的信号检测过程非常复杂, 实用性能不强, 在实际中不常使用。本系统为了克服在实际的无线移动通信中信道估计无法准确进行的难题, 采用一种基于联合星座映射的差分调制算法来实现准正交空时分组码。

2.3 STC-OFDM实现

正交频分复用 (OFDM) 是一种多载波调制技术[6]。由于短波信道是多径时变信道, 利用OFDM技术, 在频域内将所给信道分为许多个正交子信道, 将要传输的数据送到各个子信道并行传输, 这样, 尽管总的信道是非平坦的, 但每个子信道是相对平坦的, 并且在每个信道上进行的是窄带传输, 信道带宽小于信道的相关带宽, 可以有效地对抗频率选择性衰落, 大大消除符号间干扰。

MIMO技术充分利用了空间资源, 但对于频率选择性衰落, MIMO的性能就大打折扣, 另一方面, OFDM系统利用频率分集技术, 提供了一种将频率选择性信道转换为平坦衰落信道的有效方法。因此, 本文将MIMO和OFDM技术有机地结合起来, 利用STC-OFDM技术, 结合空间多天线、时间域和OFDM的编码方案, 以达到提高系统可靠性和传输速率的目的。STC-OFDM发射系统框图和接收系统框图分别如图1和图2所示。

3基带处理仿真实现

3.1 MIMO短波信道

MIMO短波信道可以用一组服从Watterson模型[7]的频率响应函数Hij来模拟。每个频率响应函数Hij代表一根发射天线与一根接收天线间的短波信道, 理论上应近似为一条瑞利衰落信道。本文对两发一收方案中短波信道模型进行仿真分析。

在两发一收方案中, 信道模型包含两个频率响应函数, 分别记为H1和H2。对其中的H1频率响应函数进行仿真, 得到的结果如图3所示。从图3中可以看出, H1的频率响应和概率分布与Watterson模型的理论公式很接近, 能较好地模拟短波通信信道。

信道采样率为2 400 S/s, 信道延时为2 ms, 信噪比为0 dB时的短波信道的功率谱密度和包络函数如图4所示。仿真结果与理论结果相似, 因此可知由H1和H2表示的两发一收的短波通信系统能近似反映短波信道的衰落、多径和时延特性, 能够较为准确地模拟出实际短波信道的主要特性, 对基带通信系统的研究有很好的应用价值。

3.2 空时分组码仿真分析

MIMO中的空时分组码的性能与具体收发天线数目有关, 本文对两发一收的发送接收方案空时分组码性能进行分析。仿真系统采用MATLAB仿真软件[8,9], 仿真参数见表1。因为在实际短波通信中, 基带信号一般会经过纠错编码, 因此分析时, 为了较真实地模拟实际基带通信线路, 采用了[1,2,7]卷积码[10]对基带信号进行编码, 同时也给出了未编码的基带信号所对应的误码率, 供参考比较。图5为多普勒频移为1 Hz下的平均误码率, 图6为多普勒频移为2 Hz下的平均误码率。

仿真结果说明在短波通信信道中, 对采用纠错编码的基带数据进行STC-OFDM技术传输时, 可以在不做信道估计的情况下获得较好的平均误码率。同时, 可以看出当信道多普勒频移增加时, 平均误码率变化较小。可见, 在短波信道中采用STC-OFDM技术是可行的, 在选取合适的纠错编码的情况下, 可以大大提高系统的平均误码率, 提高短波通信的信道容量。

参考文献

[1]樊昌信, 詹道庸, 徐炳祥, 等.通信原理[M].北京:国防工业出版社, 1995.

[2]张尔扬.短波通信技术[M].北京:国防工业出版社, 2002.

[3]Andrea Goldsmith.Wireless communications[M].Beijing:Posts and Telecom Press, 2007.

[4]沈齐齐.浅谈战术单位短波通信系统的技术改造[J].军事通信技术, 2005, 4 (3) :11-32.

[5]张贤达, 保铮.通信信号处理[M].北京:国防工业出版社, 2000.

[6]王天杰, 俞朝辉, 毛培法, 等.CDMA无线定位[J].现代电信科技, 2002, 10 (6) :9-12.

[7]张力军, 张宗橙, 郑宝玉, 等.数字通信[M].第3版.北京:电子工业出版社, 2001.

[8]卜佳俊, 张海翔, 陈天洲.深入MATLAB开发[M].北京:清华大学出版社, 2004.

[9]Mark Birbeck.MATLAB编程[M].第2版.斐剑锋, 高伟, 徐继伟, 等, 译.北京:机械工业出版社, 2002.

基带处理器 篇4

结合各类无线通信系统实际算法需求, 低层信号预处理算法的数据为符号级数据, 虽然数据量大但运算结构相对比较简单, 适于用FPGA进行硬件实现。高层处理算法的数据为比特型数据, 其特点是数据量较少, 但算法的控制结构复杂, 适于用DSP来实现。FPGA具有明显的并行处理优势和灵活性, DSP运算速度快、寻址方式灵活, 二者均能满足处理复杂算法的要求, 这样以FPGA + DSP的架构为核心, 借助于高性能的器件和高速接口, 设计了一个高性能信号处理硬件平台[2]。该平台具有灵活的处理结构, 对不同结构的算法都有较强的适应能力, 尤其适合实时信号的处理。

1系统硬件方案设计

1. 1系统方案

按照软件无线电的设计思想, 基带处理平台的宗旨是完成包括GSM、CDMA、CDMA2000、WCDMA、 TD-SCDMA、LTE等多种通用算法的实时处理。所以整个基带处理平台主要难点在于对高速信号的正确收发、存储与实时处理。基带处理平台主要包括三大功能模块, 即数字中频处理模块、基带数据收发和预处理模块以及基带数据算法处理模块。前两个模块由FPGA实现, 后一个模块由DSP实现, 这样的分配能充分发挥FPGA和DSP各自的最佳性能。

FPGA是基带处理平台和射频前端衔接的主要器件, 不仅肩负着数字上下变频和数据预处理任务, 还需完成对高速数据的接收、缓存以及控制命令的收发。对于接收通道, FPGA通过高速并行接口接收A/D转化后的数据, 先对数据进行下变频到基带, 包括NCO、滤波、抽取和频点选择等, 然后根据需要对基带数据进行预处理, 如信号同步、相关等。 对于发射通道, FPGA先将从DSP过来的数据进行预处理, 然后上变频到中频, 再送到前端D/A传输到射频。

DSP主要负责关键算法的运算, 如信道编解码、 信号检测、噪声滤波等, 除此之外还要完成DSP内置外部接口的通信、与上位机和FPGA之间的交互等任务[3]。系统整体框图如图1所示。

整个系统分为上行和下行两个通道, 两个通道的数据处理分别用两块DSP芯片实现, 两个通道不仅能独立工作而且相互能进行快速的数据交互, 很大程度上提高了系统数据处理的实时性和并行能力。DSP与FPGA之间存在丰富的接口, 包括用于高速数据传输的快速串口 ( serial rapid IO) 和64 bit EMIF ( external memory interface) 接口以及用于控制命令传输的多通道缓冲串口 ( Mc BSP) 和通用输入输出口 ( GPIO) 。DSP与DSP之间也有用于高速数据传输的Serial Rapid IO和用于控制命令传输的Mc BSP接口, 数据交互非常方便。另外, FPGA和DSP均外扩了一个高速的DDR2-SDRAM和大容量的FLASH, 完成高速数据和程序的存储。整个基带处理硬件系统通过以太网连接至上位机, 实现人机交互。

1. 2信号处理流程

以下行接收链路为例, 经A/D采样后的12位并行高速数据通过高速的HSMC连接器进入基带FPGA, FPGA接收数据后首先进行数据转换, 将12位并行数据转换成16位有符号数据, 继而进行DDC, DDC内部对中频信号进行数字混频、降采样和滤波后输出基带信号, 随后对基带信号进行预处理, 如信号同步、信道估计等。预处理后的数据依次缓存在FPGA内部开辟的FIFO中传送给DSP, DSP通过乒乓操作完成数据的无缝缓冲与实时处理, 处理完成的数据最终经以太网口传到上位机的显示控制界面。

2硬件平台的详细设计

2. 1关键器件选型

高速的数字信号处理器是整个硬件平台的关键, 主要是对DSP和FPGA芯片的选择。

1) DSP选型: DSP是基带算法处理的核心, 选型非常关键, 在选择DSP芯片之前, 需要对基带信号处理单元的需求进行详细分析。以目前数据传输速率最快的LTE系统为例, LTE在20 MHz频谱带宽能够提供下行100 Mb /s、上行50 Mb /s的峰值速率, 按照最高的100 Mb /s来计算, 则每10 ms帧所要处理的最大数据量为1 Mb。根据3GPP TS 36. 211 V9. 1. 0规定的下行数据基带处理流程, 并按固定位置复用的方式进行处理, 每个数据比特须经过最多10个环节的处理过程, 估算平均每环节上每比特的处理要求8条指令, 则10 ms内必须完成的处理指令数是: 1 000 000 × 10 × 8 = 80 000 000条, 对应的处理能力要求是8 000 MIPS ( MIPS表示每秒百万指令) [4]。考虑到其他指令的处理, 则整个基带数据处理的需求应不小于9 000 MIPS。根据以上需求分析, 从系统的灵活性和成本等角度出发, 选择了TI公司的TMS320C6455定点数字信号处理器, 其最高工作频率为1. 2 GHz, 有高达9 600 MIPS的峰值定点运算能力[5]。除了接口资源十分丰富外, 其内部有增强型第二代卷积译码协处理器 ( VCP2) 和增强型第二代Turbo译码协处理器 ( TCP2) , 能极大提高系统的性能, 可高效执行通信系统的多种相关核心信号处理算法。

2) FPGA选型: 作为处理平台的协处理器, 不仅要求所选FPGA具有丰富的内部逻辑资源, 而且需要足够多的I/O口来完成信号的转接和通信任务。 结合需求, 本设计中选择ALTERA公司的EP4SGX230KF40C4这款FPGA芯片。它是一款高性能超大规模可编程逻辑器件, 具有成熟的收发器和存储器接口技术, 其内部包含182 400个Combi- national ALUTs, 13. 9 Mb RAM以及1 288个嵌入式乘法器, 硬件资源十分丰富, 适合复杂算法及高速信号处理等对器件性能要求较高的场合[6]。

2. 2 FPGA和射频板接口设计

根据需求, FPGA和射频板之间的接口速率应不低于1 Gb /s, 这对连接器的要求较高, 本设计中选用的是一种高速差分HSMC连接器。一个HSMC连接器包括有3种传输线: 16对的高速Rapid IO收发器, 最大速率能达到6. 25 Gb /s; 52对高速的LVDS传输线, 速率达到1. 6 Gb / s; 16对高速的模拟LVDS传输线, 速率达到1. 1 Gb / s。显然, 通过这种高速差分的HSMC连接器能满足传输速率的要求。

2. 3 FPGA和DSP接口设计

射频接收的信号经过滤波、放大、混频、再滤波、 再放大、A/D变换和DDC降采样后得到的数据已经变为I、Q两路的基带数据流, 此基带数据流的数据量跟选用的无线通信系统有关, 以数据量最大的LTE系统为例, 在20 MHz带宽下, LTE的码片速率是30. 72 Mc /s, 分为I、Q两路, 本设计接收和发送的基带数据采样率均为2倍采样, 且每个采样点用16 b精度量化, 由此可计算出射频每个通道每秒钟的数据量是: 30. 72 Mb /s ( 采样速率) × 16 ( 采样精度) × 2 ( IQ两路) = 983. 04 Mb /s。高达1 Gb /s数据的接收和处理对FPGA与DSP之间的接口提出了较高的要求, 本设计中使用EMIF或Serial Rapid IO接口来传输。EMIF是外部存储器接口, 用于扩展片外存储, FPGA可以看作是异步存储设备与DSP的外存储器接口相连。EMIF可以采用多达64位的数据总线, 能提供高达200 MHz的时钟频率。按照200 MHz的时钟频率, 设定每5个时钟周期传输一次数据, 则EMIF口的数据速率为: 200 MHz/5 ×64 = 2 560 Mb / s, 显然能满足传输速率的要求。两者的连接如图2所示。

除了EMIF接口外, 还可以选用Serial Rapid IO接口, 它是一种高速串行接口, 在物理层采用串行差分模拟信号传输的Rapid IO标准, 能提供高达3. 125 Gb / s的传输速率。采用这种接口不仅能提高传输速率, 而且所需传输线也只需几对差分对即可, 但由于这种高速的差分接口对PCB布线要求非常严格, 在本设计中只作为备用接口使用。

2. 4网络接口设计

整个基带处理平台的控制和管理需要PC, 而且经基带处理后的数据也要PC后续处理和显示, 因此我们借助以太网和PC建立联系, 实现数据交互和系统控制。系统网口模块如图3所示。

3系统测试

在保证整个硬件平台供电、时钟以及FPGA、 DSP模块工作正常的情况下, 还需进行接口的数据传输能力测试, 待接口测试无误后再结合射频平台在实际网络中进行验证。

3. 1数据传输能力测试

数据传输主要包括FPGA与DSP之间的EMIF接口、DSP与DSP之间的SRIO接口以及DSP与上位机的网口。EMIF是FPGA与DSP之间主要的数据传输通道, 是系统正常运作的基础, 这里主要对EMIF口进行测试。

具体测试方法是FPGA将构造的正弦波采样数据写入内部的FIFO给DSP, DSP启动EMIF口在t1时刻开始接收数据, 并通过内部的EDMA将数据搬移到以地址0x90000开始的缓存空间中, 然后在t2时刻停止接收。对 ( t2- t1) 时间内的传输的数据量进行统计, 可以测出实际通信过程中包含EDMA延时的EMIF传输速率。

如图4、图5所示, 当EMIF接口时钟设置为200 MHz时, 理论通信速度为2 560 Mb / s, 而实际的传输速率为2256. 284 Mb /s, 此时DSP中接收的数据和QuartusⅡ软件中逻辑分析仪显示的FPGA发送的数据完全一致, 未发生数据丢失与错误, 完全符合该平台983. 04 Mb /s通信速度要求。

3. 2平台在3G网络中的实测

当所有接口能正常传输数据, 整个基带平台能正常工作后, 结合射频平台, 采集了CDMA2000系统的基站下行数据, 基带平台接收到数据后在DSP中先后进行了同步、频偏校正、解系统消息等处理, 如图6所示。

DSP运行下行信道同步算法后能出现相关峰, 此相关峰位置即为下行数据与导频信道PN序列对齐的准确位置。完成同步算法和频偏校正后, 再进行系统消息解析, 通过CRC校验, 得到解析的基站配置参数。由此可以看出整个平台能达到对CD- MA2000系统的正确的接收与实时处理, 基带平台设计达到预期效果。

4结束语

本文结合目前基带处理器的现状和通用基带处理系统的要求, 采用了一种以FPGA + DSP为核心的基带处理架构, 构建了一个高性能的基带处理平台, 该平台能充分利用FPGA和DSP各自的优势, 实现了对高速、大量复杂信号的接收与实时处理。在实际的项目测试中, 能可靠、实时地完成对高速基带信号的有效处理。

摘要:针对目前无线通信系统基带信号处理平台功能单一、灵活性差、运算能力弱等问题, 在传统处理器架构的基础上提出了一种改进高速基带信号处理平台的硬件设计方案。该方案采用FPGA+DSP的处理架构, 依托高性能的器件和高速接口, 搭建了一个高性能的通用基带信号处理平台。该平台直接实现对中频数字信号的处理, 融合数字上下变频与基带算法于一体, 具有模块化、灵活性等特点。实验结果表明, 该基带处理平台能快速接收并实时处理各类基带信号, 数据处理能力达到了较高水平。

关键词:信号处理,通用基带,FPGA,DSP

参考文献

[1] Zhang Xuejing, Li Jinping, Zou Erning, et al.Study of hardware architecture based on software radio.International Forum on Information Technology and Applications, Kunming, 2010:297—300

[2] 苏永芝, 耿玉玲.基于FPGA+DSP的高速中频采样信号处理平台的实现.现代电子技术, 2011;34 (07) :34—36

[3] 唐鹏, 鲁东旭.无线通信中DSP和软件无线电技术的应用.通信技术, 2010;43 (06) :224—226

[4] 3GPP TS 36.211 v9.1.0:Resource blocks (Release 9) .2010

[5] Texas Instruments Inc.TMS320C6455 Fixed-Point Digital Signal Processor.2012

基带处理器 篇5

航天测控系统是各类航天任务中不可或缺的重要组成部分。近年来,随着高性能计算技术、信号处理技术的不断发展,以通用计算机为硬件平台构建的测控系统由理论验证阶段逐渐过渡到工程实现阶段。航天测控系统是强实时系统,计算结果的正确性不仅依赖信号处理的逻辑正确,还取决计算结果的时间正确[1,2]。当前,基于通用计算机的测控系统更强调实现测控功能,对于一些非功能性问题研究较少。本文综合分析了航天测控任务中对实时性的需求,提出了提高系统实时性的设计方法,同时在自主研发的综合化扩频测控基带信号处理平台上进行了仿真与分析,为测控软基带的工程实现提供了一定理论和实验基础。

1 航天测控系统中的实时性

1.1 航天测控任务的时间特征

实时,一般指信号处理的过程在短时间内完成。但实时不完全等同于快速,无论处理器计算速度有多快或传输时延有多小,只要在规定的时隙内系统产生正确的响应,则称系统具有实时性。因此,实时性是指能够在限定时间内执行完规定的功能并对外部的异步事件做出响应的能力[3]。

航天测控系统是一个具有严格时限的强实时处理系统,时间是一种重要的资源和要素,因此,航天测控系统具有以下几个重要特征:

(1)可预知性。航天任务一般按预先制定的方案执行,航天器的飞行轨道、飞行姿态、机动动作和测控操作执行的时间是确定的,这一点是航天测控系统最重要的特征。

(2)及时性。航天测控系统具有精准的时限要求,这个精度一般能达到ms级,甚至要求μs级,而快速并不能对这种要求做出保证。因此,航天测控任务在规定的时间点及时地运行任务比快速更为重要。

(3)并行性。航天测控中无论是分离体制还是统一体制,跟踪测量在时间逻辑上都是并行的,各个测量系统独立运行和计算。由此可以看出,航天测控系统具有并行性特征。

(4)定向性。因为测控任务本质上是分布的,所以测控任务的完成依赖多个子系统相互协同,因此测控系统中的时间约束具有定向的传递性。

1.2 航天测控任务的实时性分析

不同航天任务有其自身固有的特点和测控需求。测控任务的实时性分析就是指根据不同航天任务的特点,分析任务的实时性需求;分析测控网的信息处理能力能否满足实时性要求;分析能否在可测控弧段内安排所要求的各测控事件,分析测控系统能否实时完成所需的测控任务。

典型的航天任务及任务特点可参见文献[4,5]。运载火箭发射任务测控时间短、距离近,测控实时性要求高,重点在弹道测量,要求测控系统能够及时提供运载火箭的飞行弹道及各类参数,作为发射场安控的依据,要求从捕获目标、输出测量信息到监视显示,一般在数百ms内完成[6]。近地轨道卫星测控任务和载人航天任务中,单站的可测控时间只有几分钟到十几分钟,可观测窗口极短,但测定轨要求高,遥测数据率高,测控的实时性要求极高。同步轨道卫星的工作轨道相对地面静止,卫星入轨段控制复杂,但卫星入轨后轨道参数相对固定,不需要强实时跟踪,单站可按照时分的方式测控多颗卫星。深空测控距离比常规测控距离有了明显增加,信号传输时延也较大,因其物理限制,对深空测控任务要求较强的实时性是没有必要的,可采用复杂的算法,提高测量的精度。

根据以上分析,测控系统搭建过程中应依据不同的任务类型合理调配资源,采取合理的平台和算法,以达到资源最优化。

2 测控基带信号处理中的实时性

当前,航天测控信号处理依赖测控综合基带设备,综合基带设备依靠严格的硬件时钟控制,其时间同步性和实时性均能得到保证。测控系统基带信号处理的主要时间指标可参见文献[7]。

目前,通用计算机主要用来处理文档、多媒体以及非实时的数学计算和分析,如何客观地评估数字信号处理方法在通用计算机中的处理时长,最常用的方法是利用指令计时,但因为处理器的分时运行,这种方法并不准确。工程中通常将完成一个处理过程的时间Ti描述为计算得到每个输出yi(n)时所需的时长不超过对yi(n)有影响的下一组输入xi+1(m)到达的时间,以此来描述信号计算中的时延。

3 测控系统实时性的保障方案

传统的实时计算规模通常较小,但在航天测控任务中,信号多样、数据复杂,计算规模较大。目前,在用的设备依赖软件无线电的硬件体系结构和定制的专有测控软件。这类系统的设计和开发与某一种数字信号处理器件的内部结构与工作模式紧密相关,虽然可以靠加载不同程序实现不同功能,但系统硬件结构不可重组,程序不易修改,多种功能不易整合集成。利用通用计算机搭建的测控系统,可以减少系统硬件费用,缩短设计和改造时间,充分提高系统的通用性和灵活性。

3.1 相关技术

随着实时信号处理应用的发展,为保证测控系统实时计算的研究也逐步形成。实时信号处理相关技术如图1所示,主要包括系统体系结构、软件设计和处理器。

高性能分布式计算最初为科学计算设计,如今被广泛应用于气象仿真、生物分子模拟和环境科学等各行各业。从分布式计算的发展趋势来看,分布式并行计算是高性能计算的发展方向。尤其近年来将GPU作为协处理器提高运行效率的应用越来越广泛,CUDA的低开发门槛为高性能计算的“平民化”提供了很好的平台。因此,采用分布式异构并行的硬件体系结构,能够在计算能力上保证系统的实时性。同时,基于现场可编程逻辑门(FPGAs)的可重构技术、MCP封装技术和云计算技术为解决通用计算机的实时性问题提供了多种发展方向。

为了带来更高的稳定性和重用性,中间件技术被广泛采用。CORBA[8]是一个分布式的面向对象的应用结构规范,针对工程应用领域的特点,通常在中间件技术的基础上,形成专用的软总线软件开发技术,配置系统的计算资源、存储资源和通信资源,从软件体系结构保证系统的实时性。

面向对象的编程技术是现在主流的编程技术,但其编程模式在处理实时性方面还缺乏对时间的准确描述和合理的调度机制。当前最常用的实时对象模型是基于Client/Server的计算模型,如图2所示。

该模型公共部分定义了对象收发的外部操作,私有部分定义了对象可实现的功能。这些功能均以线程的形式体现,并聚集在线程池中,Client/Server模型将常见的对象间的调用操作转变为对象内部线程的优先级操作,对象响应外部操作的过程转变为私有部分的线程调用。这样,对象调用的时间特性描述变为了线程调度策略和优先级策略,从而不受其他操作的影响,在编程技术上保证算法的实时性。

3.2 系统模型

基于通用计算机[9]的测控系统可以用一个分层模型来表示,并在每一层的设计中提出实时性保障方案,如图3所示。

物理层是系统的基础,利用前置高性能工作站、高速互联模块和高速存储模块等保障。内核层由操作系统构成,是系统硬件平台和应用软件之间的中介,直接与物理层中的各种计算资源交互,利用多任务I/O访问、实时多线程调度等保障系统实时性。链路层和传输层分别采用优化信号路由和数据封装、标准化接口协议的方法优化系统实时性。在传输层,利用测控帧结构中的时间同步信息标识,实现系统内并行数据流间的时间同步。功能实现层和应用层通过搭建“积木”的软总线的方式,完成高效的软件重组和人机交互,构成完整的实时性系统。

3.3 设计原则和实现思路

基于上述技术,可以预见基于通用计算机的航天测控系统将是开放的,绝大多数硬件是可以购买到的标准组件(COTS),而软件则是采用软总线技术构成的软件体系结构。不过,因为航天测控信号的特殊性,综合化处理平台仍然需要部分定制的硬件,使系统成为一个混合的分布式信号处理系统,如图4所示。

由于测控系统功能十分复杂,因此,信号处理集群采用组件化的设计方法,将计算复杂性分散到各个处理终端中。对于计算密集度较高的功能组件,采用GPU作为协处理器加速,保证计算的实时性。

如1.2节分析,在实际的航天测控系统中,并不是每项任务都需要保证较强的实时性,因此,对于不同任务,实时性是可选的,例如对于实时性要求不高的深空测控任务,通过加载更为复杂的信号处理算法,实现更高的精度。

4 测试结果分析

基于通用计算机的测控系统由多台高性能工作站通过网络连接而成,高性能计算机以千兆以太网卡作为网络接口,通过双绞线(6类UTP线)与千兆以太网交换机实现网络连接。基于Windows操作系统和TCP/IP协议可以达到千兆以太网的最大有效带宽,采用基于端口汇聚式交换机的星形网络拓扑结构,系统工作在全双工模式下,同时进行数据的发送和接收,如图5所示。

核心的计算硬件为高性能工作站,惠普Z820(E5-2620/4 GB/1 TB/Q2000)采用英特尔E5-2620六核处理器,4 GB DDR3 1 333 GHz内存,1000 GB SATA3硬盘,NVIDA Q2000 1 GB专业图形显卡,可通过机架安装的系统提供7个扩展槽、7个扩展托架和4通道集成DDR3内存(带有16个插槽,支持的内存容量高达512 GB)。

系统搭建后,采用“CPU+GPU”异构全并行的方式设计扩频测控信号捕获模块,将原有典型的二维串行搜索转变为大规模多线程并行搜索,并对不同扩频系数的500 ms测控信号进行仿真测试。经过100次测试统计平均后的测试结构如表1所示,测试结果表明捕获的相位精度均可达到1/4。

利用软件锁相环设计信号跟踪模块,输入1 ms数据,对环路整体执行时间经过100次测试统计平均,串行执行时长9.6 ms,并行执行时长0.78 ms,加速比达到12.3,实时性可达到1∶0.78。

经过仿真测试,基于通用计算机的测控系统,能够在规定的时隙内完成计算,并具备较强的系统重组能力。

5 结束语

对比文献[10]中的测控信号处理系统,基于通用计算机的测控系统能够完成现阶段的大部分测控功能,并保证系统实时性,且具有更强的功能重组能力、更低的成本和更强的扩展能力,能够根据不同实时性任务需求,动态地调整系统的软硬件结构,使系统保持相对较优,是测控设备发展的重要方向之一。后续将继续完善系统功能,考虑向自主可控平台过渡。

摘要:航天测控系统是一类强实时系统,实时性是系统设计和实现过程中必须考虑的主要因素。当前基于通用计算机的基带信号处理系统因其灵活性、易扩展性,已经逐步由原理验证阶段过渡到工程实现阶段,但系统由通用计算机搭建,因软硬件的异步特性,系统的实时性还不能很好地满足测控任务需求。研究了航天测控系统中的实时性,分析了不同类型航天测控任务对实时性的需求,提出了基于通用计算机的测控基带信号处理系统实现方案,并在平台上开展实验。仿真结果表明,基于通用计算机的航天测控基带信号处理系统可以满足实时性要求。

关键词:航天测控,基带信号,系统结构,实时性

参考文献

[1]CHENG Zhi-quan,JIN Shi-yao,DANG Gang,et al.A Service-oriented Architecture for Progressive Delivery and Adaptive Rendering of 3D Content[C]∥In Proceedings of the 12th International Conference on Virtual Systems and Multimedia(VSMM),LNCS4270,Springer,Xi’an,China,2006:110-118.

[2]骆志刚.开放系统中的实时性研究[D].成都:电子科技大学,2001.

[3]LAPLANTE P A,OVASKA S J.Real-time Systems Design and Analysis[M].Piscataway:IEEE Press,2011.

[4]刘嘉兴.飞行器测控与信息传输技术[M].北京:国防工业出版社,2011.

[5]于志坚.航天测控系统工程[M].北京:国防工业出版社,2008.

[6]章兰英,袁嗣杰,陈源.航天扩频测控系统中伪码捕获方法研究[J].电子学报,2011,39(6):1 471-1 476.

[7]唐军,王卫星,谢澍霖.航天扩频测控通信系统的系统捕获[J].电讯技术,2006(4):91-95.

[8]汪芸,谢俊清,沈卓炜,等.实时CORBA技术综述[J].东南大学学报(自然科学版),2002,32(3):311-317.

[9]丁兴文,余卫国,李艳华.基于高性能通用计算机的软件基带技术[J].遥测遥控,2012,33(4):1-7.

基带处理器 篇6

Strategy Analytics发布的报告指出,2016年上半年,高通、联发科、三星LSI、展讯和海思半导体统揽基带收益份额的前五名。尽管竞争激烈,但高通仍以50%的收益份额引领基带芯片市场;联发科以23%的收益份额排名第二;收益份额为12%的三星LSI排名第三。LTE基带芯片市场规模继续呈两位数强劲增长,而3G和2G基带芯片细分市场规模却在2016年上半年大幅缩水。

Strategy Analytics手机元件技术服务副总监Sravan Kundojjala表示,“日益激烈的竞争和市场规模的扩大使得高通LTE的出货量份额从2015年上半年的67%下降到2016年上半年的54%。经历了2015年的惨淡后,高通凭借最新发布的旗舰芯片Snapdragon 820提高了公司的平均销售价格,使其在2016年上半年有明显复苏的迹象。我们预计,高通将凭借不断增长的智能手机应用处理器(部分原因是苹果从两家芯片厂商为iPhone 7和iPhone 7 Plus采购modem)在2016年将继续提高基带出货量。”

Strategy Analytics手机元件技术服务执行总监Stuart Robinson表示,“联发科的LTE出货量在2016年上半年比去年同期增长了一倍以上。联发科LTE芯片出货量的增长是由中国、其它新兴市场以及其强势的中端LTE产品组合所推动。除了苹果和三星,几乎所有的主要手机厂商都在使用联发科的芯片。我们认为,联发科的市场份额将会在2016年持续增长。”

GEPON及基带EoC原理简介 篇7

GEPON (Gigabit Ethernet Passive Optical Networks, 千兆以太网无源光网络) 是PON技术的一种, 是以太网和PON技术的有机结合, 它同时具备了PON系统无源光传输的优势以及以太网的低成本优势, 通过一根光纤实现了数据、语音和视频业务的接入, 提供了一个低成本、高带宽、多业务、高可靠性的接入方案, 满足了人们对带宽日益增长的需求, 已成为目前接入网技术的发展方向, 将成为未来全光网中最佳的最后一公里解决方案。

1 GEPON技术简介

1.1 PON的组成及结构

一个典型的PON系统由OLT (Optical Line Terminal, 光线路终端) 、ONU (Optical Network Unit, 光网络单元) 、POS (Passive Optical Splitter, 无源分光器) 组成。

PON的结构示意图如图1所示。

PON系统主要功能块的作用:

OLT:OLT的作用是为光接入网提供网络侧与业务节点之间的接口, 并经一个或多个ODN与用户侧的ONU通信, OLT与ONU的关系为主从通信关系。

ODN:ODN为OLT与ONU之间提供光传输手段, 其主要功能是完成光信号功率的分配。ODN是由光缆、无源光器件, 如光连接器和光分/合路器等组成的无源光分配网, 一般呈树型分支结构。

ONU:ONU的作用是为光接入网提供直接的或远端的用户侧接口, 处于ODN的用户侧。其功能主要是终结来自ODN的光信号, 处理光信号并为用户提供业务接口。按照ONU在用户接入网中所处的位置不同, 可以划分为:光纤到路边 (FTTC) , 光纤到楼 (FTTB) 和光纤到家 (FTTH) 。

PON的网络结构主要为树型、总线型, 并通过环型光纤实现保护。

1.2 A/BPON、GPON、GEPON技术的比较

PON技术自诞生以来, 根据数据链路层协议的不同, 分为APON (基于ATM) 、BPON (基于ATM) 、GPON (基于ATM和GEM) 、GEPON (基于以太网) , 几种PON技术的比较如表1。

A/BPON、GPON、GEPON的业务协议栈比较, 如图2所示。

在1998年由ITU-T制定了APON的技术标准, APON以ATM技术为基础。但因为APON中的信杯元长度固定, 在承载IP数据流时, 必须首先将数据包分割成48字节, 然后加上5字节的信元头, 这种处理过程既费时、复杂又浪费带宽, 同时还增加了额外的成本。

ITU-T针对APON技术未能获得成功的原因, 以APON标准为基本框架, 重新发布了G.984.x系列的GPON标准。GPON采用了ATM及GEM (GPON封装模式) 两种封装方式, GEM的提出源于SDH的GFP (通用成帧协议) 思想。由于采用GEM封装方式, 使GPON可以支持TDM业务。GPON的OAM机制也相当完善。在业务承载能力上, GPON延续了APON的全业务接入理念, 支持Qo S保证。ITU-T制定的GPON系列标准完善, 技术完美, 但技术复杂、产业链不完整, 由此带来的是GPON产品的价格相对较高。

GEPON是由2000年11月成立的EFM工作组提出, 并在IEEE 802.3ah标准中进行规范, GEPON可提供上下行对称1.25Gbps线路传输速率, 下行线路速率为10Gbs的系统正在研究中。GEPON采用Ethernet封装方式, 将以太网技术与PON技术结合, 天生具有以太网的诸多优势, 如与IP协议配合最好、技术成熟度高、成本低廉等, 所以非常适于承载IP业务, 符合IP网络迅猛发展的趋势。

1.3 PON的工作原理

GEPON的工作原理如图3所示。

GEPON采用单纤波分复用技术, 下行波长为1490nm, 上行为1310nm, 1550nm可选用于视频。

在一个EPON系统中, 下行数据 (OLT发往ONU的数据包) 以广播方式传输到各个ONU (一发多收) , ONU接收自身LLID (Logical Link Identifier, 逻辑链路标识) 或者广播LLID的数据包。

在上行方向 (ONU发往OLT的数据包) 传送则采用时分复用技术, OLT为ONU分配时隙, 各个ONU只能在OLT授权的时隙内顺序发送数据, 互不干扰, 避免了不必要的数据冲突;为了避免数据碰撞, 实现信号的同步, OLT和ONU之间要实现自动测距, 以保证在上行方向上的任意一个时刻只有一个ONU发送信号, 并根据各个用户的SLA (Service Level Agreement, 服务水平协议) 进行上行带宽分配。

POS将上行数据耦合到一条光纤上, 将下行数据分发到各个ONU。

1.4 GEPON的关键问题和技术

1.GEPON的点对点仿真

GEPON的物理拓扑结构为:下行点到多点, 上行多点到点。这种结构与以太网的拓扑结构不同, 以太网要么是总线型的, 要么是点对点的, 一般逻辑拓扑结构都是点对点结构。因此, 为了兼容IEEE关于以太网的相关协议, 需要把GEPON仿真成点对点逻辑拓扑。

2.GEPON的上行多址接入和动态带宽分配

IEEE802.3ah规定GEPON通过扩展的MPCP (Multi-point MAC Control) 子层来进行上行多址接入和各ONU上行带宽资源的分配、ONU的自动发现和注册、支持PON的统计复用和带宽动态分配ONU向OLT报告带宽请求。

3.ONU的自动发现加入

为实现PON系统良好的可扩展性和操作维护方便, 在系统开通运行后, 新增加ONU或故障恢复后的ONU重新加入到系统时能自动加入而不影响正常工作的ONU, 因此ONU自动发现加入的基本要求是:

1) 系统自动完成对新ONU的发现和注册, 不需要人工干预;

2) 新ONU的加入不影响运行中的ONU;

3) 能够在短时间内 (60s) 完成新ONU的自动加入;

4) 根据ONU距OLT最远距离优化ONU自动加入相关参数, 支持最远距离为30km的ONU自动发现加入。

4.GEPON系统的测距

由于各ONU距OLT的光纤路径不同和各ONU元器件的不一致性造成OLT与各ONU间的环路时延不同, 而且由于环境温度的变化和器件老化等原因, 环路延时也会发生不断的变化。因此必须引入测距技术对上述原因引发的时延差异进行补偿, 以确保不同ONU所发出的信号能够在OLT处准确地按时隙复用在一起, 避免上行时隙间的冲突。

5.突发接收

由于ONU到OLT的距离不相等, 且各ONU的激光器的输出光功率也不同, 造成各ONU的时隙信号到达OLT接收端时, 光功率差别很大。同时, ONU发送的时隙之间有相位突变, 所以上行传输为突发模式, 需要采用突发接收和突发同步技术解决光功率突变和相位突变问题。

6.突发发射

由于GEPON上行采用TDMA复用方式, 上行信道划分为不同的时隙, 各个ONU由MAC协议控制在特定的时隙向OLT发送数据, 在某一ONU发送数据时, 其他ONU激光器应处于关断状态。因此, ONU工作在突发发射方式。

7.安全性问题

由于GEPON下行是一个共享网络, 因此用户安全也是GEPON中比较受到关注的一个问题。为了确保用户数据的安全, 目前主要采用两种方式, 一种是为每个ONU分配唯一的LLID, 另外一种是采用AES128加密技术对用户数据进行加密。

8.动态带宽分配

目前GEPON的动态带宽分配算法都是状态报告类型的。ONU通过上行报告帧报告带宽请求, OLT根据各ONU的带宽请求情况和带宽分配策略决定各ONU的授权, 通过下行授权帧通知ONU。IEEE802.3ah并没有规定GEPON动态带宽分配的策略或者说动态带宽分配的算法, 动态带宽分配算法和Qo S保证相关技术一般由生产厂家自主定义。

9.GEPON的运行维护管理

IEEE802.3ah在GEPON体系中规范了操作、管理和维护 (OAM) 子层, 提供用于检测链路的操作, 比如远端故障指示和远端环回控制, OAM为网络管理员提供了检测网络状况和快速判断失效链路的能力, 但对OAM功能的实现是可选的。OAM不包括站点管理、带宽分配或者提供等功能。

1.5 GEPON应用于光接入网的优缺点

1.GEPON的优点:

1) 成本低、维护简单:OLT与ONU之间仅有光纤、光分路器等光无源器件, 网络结构简单, 节省电力, 容易铺设, 不占用小区机房;同时, 避免了有源设备的电磁干扰和雷电影响, 提高了系统的可靠性, 节省了维护成本。

2) 超长距离传输, 容易扩容、升级:PON点对多点的拓扑使得在局端一个PON光口最多可接入32个ONU, 最远传输距离可达20km。今后, 只需增加ONU数量和少量用户侧光纤即可方便地对系统进行扩容, 大量节省主干光纤。

3) 提供多业务:GEPON系统采用一根光纤解决了数据、语音和视频业务的接入。

4) GEPON采用以太网的传输格式, 消除了复杂的传输协议转换带来的成本因素;从而成为连接接入网终端用户的一种最有效的通信方法。

5) 上下行对称高带宽, GEPON目前可以提供1Gbps的对称带宽, 即OLT的每个PON端口下面的所有ONU共享上行1Gbps的带宽。

6) 带宽分配灵活, 服务有保证:接入带宽从1Mbps1Gbps可以任意调整, 支持动态带宽分配 (DBA) 、Diffserv等来实现对每个用户进行带宽分配, 并保证Qo S。

7) 组网灵活、适应复杂网络要求:光分路器可灵活分布, 多级分配, 支持树型、星型、总线型组网方式, 支持点对点、点对多点组网, 支持ONU间二层、三层互通或隔离。

8) EPON系统是面向未来的技术, 可以平滑地过渡到FTTH。

2.GEPON的缺点:

1) 初期投资成本较高;

2) 其拓扑结构使用户不具有保护功能或保护成本太高;

3) 难以有效承载TDM业务并提供电信级的服务质量。目前, 国内多家GEPON厂商都对IEEE标准进行了扩充, 在承载TDM业务方面进行了技术创新, 中国GEPON通信行业标准也对此提出了相关要求, 这使得GEPON的多业务接入能力大大提高;

4) GEPON由于采用8B/10B的线路编码, 引入了20%的带宽损失, 再加上承载层效率、传输汇聚层效率、业务适配效率等原因, 使得GEPON总的传输效率较低。

2基带Eo C技术简介

Eo C主要可分为基带传输、调制传输、2.4GHz扩展应用这三类。其中, 基带传输Eo C为无源设备, 调制传输和2.4GHz扩频为有源设备, 上述Eo C均为非标设备。

因基带Eo C设备为无源设备, 且成本低、易安装、易维护、带宽能够满足现有主要业务要求等特点, 建议在Eo C的选择中作为主选设备, 其他方式为辅。以下介绍的Eo C均为基带无源Eo C。

2.1基带Eo C的理论基础

10Base TX采用曼彻斯特码, 曼彻斯特码又称数字双相码或分相码。其功率谱密度图如图4所示。

10Base TX的速率为10Mbps, Tb=0.1μs, Rb=10MHz, 经数字双向码编码后, 10Base Tx的功率频谱密度集中在2Rb=20MHz的频带内。

2.2基带Eo C技术简介

基带Eo C是基于有线电视同轴网的特点而设计的以太网接入系统。通过频分复用技术, 将CATV的下行传输和IP数据的双向传输有机地结合在一起, 用同一根同轴电缆入户的无源技术。对同一根同轴电缆通过频率分割, 在0.1~30MHz带宽内直接传送10Base-T的基带以太网信号 (半双工模式) , 50~860MHz仍然传送CATV RF信号。

采用基带Eo C技术既保证了有线电视信号的正常传输, 又实现了宽带数据的双向接入, 避免楼道内的线路改造, 完成HFC网络的双向化。

基带Eo C的工作原理如图5所示。

基带Eo C设备包括:电视/数据信号混合器、用户分离器和分配器。

电视/数据信号混合器:是把以太网的数据基带信号 (0.1~30MHz) 与电视信号 (47~860MHz) 一起送至用户的楼道无源混合设备。

电视/数据信号用户分离器:是把电视信号与数据信号分离开来, 分别连接各自的终端 (电视信号送入电视机, 数据信号送入计算机) 的用户端无源分离设备。

基带Eo C分配器:是替代原有的分配器, 将以太网的基带数据和有线电视的合成信号进行分配, 便于信号在户内的连接和分配。

基带Eo C的拓扑结构如图6所示。

在上述拓扑中, 交换机的各个以太网信号和CATV的RF信号在无源的Eo C设备中进行一一对应地混合, 然后通过同一根有线电视同轴电缆入户。到了用户端, 再通过无源的Eo C设备将以太网信号和RF信号分离, 用户只需简单地把PC接到Eo C的RJ-45插座就可以实现Internet宽带接入了, 不需要电缆调制解调器 (Cable Modem) 或者其它有源设备。

由于现有的以太网技术是收发各为一对线, 而同轴电缆在逻辑上只相当于一对线, 所以在无源滤波器中需要进行四线到两线的转换。同时, 以太网的特征阻抗为100Ω, 而同轴电缆的特征阻抗为75Ω, 因此需进行阻抗的匹配。

从分配的基带频段上看, 基带Eo C只适用于10M以太网的传输, 且工作于半双工模式。

2.3基带Eo C技术的优势

1.充分利用现有的同轴网络资源, 只需将楼道内的有线电视网络改造为集中分配型, 即可实现接入。

2.基带Eo C为无源设备, 网络运行稳定、安全。

3.即插即用, 不需在客户端进行复杂的调试。

4.无须考虑回路中的侵入噪声。

5.每端口建设费用低, 用户端可直接嵌入有线电视面板内, 不需要其它附加的室内设备。

6.独享10Mbps带宽 (半双工模式) , 能实现100Mbps到楼, 10Mbps到家, 可为用户提供更多的增值服务。

7.简单方便的运营维护, 费用低。

摘要:Cable Modem技术由于对电缆网施工质量要求较高, 光节点所带用户增多后因“漏斗效应”产生的噪声较大, 同时下行带宽多用户竞争, 导致用户上网信号不稳定。GEPON+EoC技术的出现, 将有效推进广电网络宽带数据业务发展, 为广电网发展数据业务提供了广阔的前景。本文对GPON及基带EoC的技术原理进行了简要的分析。

基带芯片物理层控制方案的设计 篇8

当前的移动电话以基带处理器为核心, 其主要完成协议处理、人机接口和简单的应用功能。GSM是当今世界上应用最广泛的无线通信协议, 因庞大的用户群和网络投入, GSM网络在未来很长时间内仍将存在。基带芯片的实现也有很多种方案, 现今, 大多基带芯片均采用多核集成的设计架构, 从系统结构划分来看, 基带处理器可以分为以下几个子系统:CPU子系统、语音编解码子系统、DSP信道编解码子系统、片上总线及外设子系统, CPU子系统一般完成对整个移动台的控制和管理, 包括定时控制, 数字系统控制, 射频控制, 人机接口控制。因此, 物理层控制软件的设计是必不可少, 对于不同的系统架构、不同的物理层协议而言, 控制方案和性能又会有本质的不同, 因此, 对于物理层控制方案的研究尤为重要。

1 设计依据

1.1 通用芯片架构

本文用到的是一款通用架构的基带芯片[1], 如图1所示, 上下行分别采用一块DSP, 来完成物理层信号处理, 由于下行链路任务及算法的复杂度高于上行, 额外加一块硬件协处理器, CPU子系统采用一块开源的RISC处理器, 协议栈软件运行在一块ARM7 处理器上, 物理层与协议栈之间用一块双端口SRAM连接。

1.2 物理层帧结构

GSM系统采用TDD模式, 其帧结构如下:以TDMA帧为单位, 一个复帧 (分为26 帧复帧和52 帧复帧) 等于26 帧复帧或52 帧复帧, 一个超帧等于1 326 TDMA帧, 一个超高帧等于2 048 超帧 (2 715 648 个TDMA帧) , 而每个TDMA帧分为8个时隙, 每个时隙持续0.577 ms, 一个时隙等于156.25 b。对物理层的信号处理过程的控制, 都是以基时钟提供的帧号、时隙号来实现各部分的同步执行。

1.3 基带数据流

下面以一个全速率语音信道为例[2], 划分基带的数据处理流程并将任务映射到具体的处理器中, 如图2所示。

2 控制策略

2.1 静态和动态调度

控制方案对物理层任务的调度类似于实时操作系统中的任务调度, 可分为静态调度和动态调度。动态任务调度即依赖于任务的优先级来进行动态分配, 可以采用抢占式或非抢占式。静态调度的目标是把任务分配到各个硬件处理器, 并对每个处理器给出所要运行的任务的静态时序, 其调度算法实现简单, 且额外开销少。由于移动通信基带芯片的处理时序是基于物理层协议, 时序可控并且突发任务少, 可以预先完成时序划分及任务映射, 因此本文的方案设计采用静态任务调度, 将任务直接映射到特定处理器单元, 并且划分资源存储空间, 没有并行度的任务尽可能地映射到相同的处理器单元。

2.2 集中式控制和主从式控制

物理层控制模块依靠任务状态转换进行物理层模块的控制, 可根据系统各个处理单元中任务数、复杂度、及处理时延作为考量, 来判断采用集中式控制或者主从式控制。

主从式控制方案, 主控制系统负责整个基带处理芯片的调度, 包括接收高层命令、进行内部控制逻辑的处理、传递控制命令到辅控制系统;辅控制系统负责单个处理器单元的任务控制, 包括接收主控系统的命令、读取配置参数、进行相关的逻辑计算、调用子任务的执行入口。主控系统和辅控系统之间以及辅控系统和辅控系统之间通过任务接口进行交互, 任务接口包括软件接口和硬件接口, 软件接口指数据消息接口, 硬件接口指信号端口。

集中式控制, 即整个基带的所有控制任务全部交给RISC处理器进行 (相当于一个操作系统) , DSP不再单独维护自身的任务队列, 而且多个DSP之间不再有任务交互接口, 只保留数据的传输, RISC对所有的外设中断进行优先级划分并响应, 综合考虑本文所描述的控制方案采用集中式控制, 这样大大减轻了各DSP处理器的消耗。

3 控制方案

移动终端大多时候处于IDLE模式下, 并且可处于非连续接收 (DRX) 状态, 只需要对系统广播信道BCCH及特定的寻呼组PCH进行监听, 因此可在特定的时间段内关断系统的高速时钟, 降低系统功耗。

3.1 基时钟设计

本文所述SOC芯片中, 单独设计了基时钟单元, 如图3 所示, 主要由系统参考时钟REF timer, 上行发送时钟TX timer, 下行接收时钟RX timer, 帧中断产生单元以及时间校准单元组成, 该模块挂在系统APB总线上, 为系统提供精准的TDMA帧时钟。

3.1.1 帧中断

如图3 所示, 系统包括三种帧中断控制单元, times-lot_int, frame_int, multiframe_int, GSM一个标准的TDMA帧长度定义为120 000 个26 MHz的周期值[3], 为了简化描述, 其Verilog代码如下:

3.1.2 参考时钟校准

该系统低功耗下为32 k Hz时钟, 采样时钟为26 MHz, 设分别在两个时钟域下的计数器cnt_32k和cnt_26M, 在时钟校准使能信号calib_flag的驱动下, 这两个计数器进入时钟校准状态并同时从0 开始计数, 当cnt_32k计数器完成16 次计数后, 跳出校准状态, 此时设cnt_26M恰好计数到calib_value, 这个值将作为每次睡眠唤醒后的校准值。采用16 作为校准周期, 是由于数字电路容易实现以2 为基数的整数倍除法。

设在32K下的睡眠时间为sleep_32K_counter (一般为TDMA帧周期的整数倍) , 则通过乘法器可以算出在26 MHz时钟下的睡眠时间为sleep_26M_counter = (cal-ib_value*sleep_32K_counter) >>4 (右移4 位) , 从而计算出唤醒后的参考计数器的值为REF_timer (唤醒时刻) =REF_timer (睡眠时刻) +sleep_26M_counter, 以完成从睡眠到唤醒后的系统参考时钟的校准。

3.1.3 上下行收发定时

由基时钟单元中的RX_timer和TX_timer为物理层提供上下行收发定时。物理层在收到上行任务后, 根据上行发送的帧号及TA值来计算上行发送时刻TX_ tim-er, 并写入上行射频接口发送任务列表, 上行任务需要提前一个帧配置;物理层根据下行信道配置, 确定下行burst的接收时刻RX_timer (某个下行帧的起始位置) , 并配置下行射频接口接收任务列表。通过配置射频接口的收发数据长度和收发时刻, 可完成上下行数据的收发, 上下行收发时钟可根据系统参考时钟进行实时地更新。

3.2 系统调度流程图

由于基带物理层控制是一个相当复杂的过程, 当前暂未考虑GSM物理层过程中的小区选择、功率控制及链路故障检测等复杂场景, 而且调度流程暂未考虑数据的缓存。现根据完成一次上下行TCH3 语音业务来示意整个调度流程, 上下行任务调度及数据处理需要在一个帧内完成, 如图4 所示, 所有外设中断经过中断控制器后给Open RISC处理器的中断控制寄存器PICSR[31:0], 物理层控制软件按中断优先级对其进行集中处理。

3.3 软件设计

软件设计如图5 所示, 上电后软件程序从外部MEM加载进Open RISC的QMEM中, 然后程序开始执行。首先进入main函数, 完成中断注册、物理层参数的初始配置, 然后初始化外设接口及硬件模块等。

完成初始化操作后, 函数进入等待中断的死循环, 开始持续检测外部中断, 在中断注册时对系统所有外部中断划分了中断优先级, 在检测到中断后, 利用中断查询函数, 查询中断控制器的对应位, 并将该中断清掉以避免循环执行该中断, 然后进入中断服务程序, 在中断服务程序中进行当前物理层状态的判断, 并对硬件进行配置, 配置完成后跳出。

4 仿真结果

在Linux环境下, 通过GCC交叉编译工具链, 完成对物理层控制软件的编译、链接及程序的装载[8], 并在synopysys公司的VCS仿真工具上完成软硬件的调试, 当前只对控制软件是否能对系统进行集中式控制进行了测试, 如图6 所示, 图中蓝色高亮部分为Open RISC中断控制寄存器, 在一个帧的时间内, 物理层控制软件能够按中断优先级响应系统各个硬件模块的中断, 并完成相应任务的配置。

5 结语

本文在基于GSM物理层协议, 以及通用基带芯片架构的基础上, 对基带物理层信号处理流程进行划分, 提出了一种物理层控制方案, 并设计基时钟电路, 建立上下行调度时序, 最后, 完成软件的设计及代码编写, 在RTL级的So C系统上完成了初步的调度测试, 由于物理层算法的灵活性以及硬件架构和各处理器的性能, 物理层控制软件也会根据实际的需求做大量的调整及优化, 这将在后续的研究中不断去实践和改进。

参考文献

[1]胡东伟, 梁宏明, 陈杰.移动终端基带芯片架构概论[J].移动通信, 2009 (8) :29-32.

[2]陈志冲.GSM手机基带芯片SoC系统设计[D].北京:中国科学院计算技术研究所, 2003.

[3]程曾, 马林.用于基带芯片的GSM硬件高精度休眠定时器[J].微计算机信息, 2011, 27 (12) :47-49.

[4]杨彬.GSM基带设计技术[J].移动通信, 1999 (4) :99-101.

[5]韩斌杰.GSM网络原理及其优化[M].北京:机械工业出版社, 2001.

[6]DAMJAN Lampret.OpenRISC 1200 IP core specification[M].USA:OpenCores, 2011.

[7]俞甲子, 石凡, 潘爱民.程序员的自我修养[M].北京:电子工业出版社, 2011.

[8]王宽仁, 孟涛, 侯传教.基于SoC单片机的嵌入式时序控制单元设计与实现[J].微计算机信息, 2010, 26 (9) :51-53.

上一篇:用药指标下一篇:流浪儿童