DC/DC模块

2024-07-17

DC/DC模块(精选十篇)

DC/DC模块 篇1

关键词:电压跌落,浪涌电流,DC/DC变换器

1 开机浪涌电流和母线电压跌落

目前, 考虑到体积, 成本, 技术指标等因素, 大多数通信用DC/DC变换器输入滤波采用LC输入滤波方式, 因为48V母线电压上的杂波较少, 所以滤波电感L1的电感量较小, 电路原理如图1所示。由于电容器上电压不能跃变, 在DC/DC变换器插入机架上电之初, 滤波电容电压几乎为零, 等效为输出端短路, 输入浪涌电流远高于整流器工作时的电流。如图2所示。如果电源内阻比较小, 容量为470μF滤波电容, 第一个电流峰值会超过50A, 为正常工作电流峰值的数倍。

浪涌电流会造成48V直流母线电压波形跌落, 供电质量变得很差, 并接在母线上的同坐设备会受到影响, 而且会使保护电路动作;为避免浪涌电流冲击DC/DC变换器的输入熔断器, 可以选用更高电流容量的熔断器, 但会出现过载时熔断器不可以熔断, 从而起不到保护DC/DC变换器及其他电电路的现象;上电浪涌电流过高对DC/DC变换器和48V直流母线会造成破坏。因此, 必须限制电容滤波的整流器输入浪涌电流。

2 上电浪涌电流的限制

限制上电浪涌电流最有效的方法是, 在48V直流母线与滤波电容器之间加一负温度系数热敏电阻 (NTC) , 如图3所示。为了限制上电浪涌电流, 利用负温度系数热敏电阻, 来减小NTC上的损耗, 但是存在问题, 因为NTC的初始温度和在环境温度会影响上电浪涌电流的性能。在彩色电视机和显示器上, 采用串一限流电阻来限制上电浪涌电流, 电路如图4所示。最常见的应用是彩色电视机, 这种方法的简单, 可靠性高, 工作境温度范围要求比较宽, 缺点是限流电阻上有损耗, 电源效率降低了。事实上DC/DC变换器因为输入电压较低 (48V) , 输入电流较大, 当DC/DC变换器达到工作稳态后, 限流电阻已经不起限流作用, 起到发热、消耗功率的负作用, 因此, DC/DC变换器的功率较大时, 采用上电后, 延时一段时间, 然后用一机械触点短路限流电阻, 如图5所示。这种限制上电浪涌电流方式性能虽好, 但占用体积较大, 电路较复杂。为使应用这种抑制上电浪涌电流方式, 象仅仅串限流电阻一样方便, 本文推出DC/DC变换器上电浪涌电流抑制模块。

3 上电浪涌抑制模块

将功率电子开关-MOSFET与控制电路封装在一个相对很小的模块 (如500W以下为25mm×25mm×11mm) 中, 引出3个引脚, 外接电路如图6所示。DC/DC变换器上电后最初一段时间, 外接限流电阻抑制上电浪涌电流, 上电浪涌电流结束后, 模块导通将限流电阻短路, 上电浪涌电流峰值被有效抑制。

上电浪涌电流抑制模块的工作原理:

图7是N沟道场效应管的漏极特性曲线, 曲线分为三个部分, 夹断区、恒流区、可变电阻区。在可变电阻区时, ID与VDS的几乎成线性比例, 其变化值受VGS控制, 而D、S间的电阻为线型变化。使场效应管在可变电阻区工作一段时间, 可以除浪涌电流。

4 结语

DC/DC模块 篇2

星上DC/DC变换器国内外研究现状

随着电子器件、材料、工艺的飞速发展,星上DC/DC变换器性能在最近几十年有了很大提高.介绍了星上DC/DC变换器国内外现状发展情况,分别从拓扑结构、控制方式、材料工艺等方面进行了较为详细的论述,并结合国内相关技术发展的现状,展望了国内星上DC/DC变换器的发展趋势.

作 者:雷卫军 李言俊 LEI Wei-jun LI Yan-jun 作者单位:西北工业大学航天学院,西安,710072刊 名:宇航学报 ISTIC PKU英文刊名:JOURNAL OF ASTRONAUTICS年,卷(期):28(6)分类号:V423.4+4关键词:星上DC/DC变换器/开关电源 拓扑结构 控制方式 材料工艺

DC/DC模块 篇3

[关键词]双向DC/DC变换器;Buck充电模式;Boost放电模式;电流检测

一、系统方案

双向DC-DC变换器是典型的“一机两用”设备,可实现能量的双向传输,所以在需要能量双向流动的场合,双向DC/DC变换器的应用可大幅度减轻系统的体积、重量和成本,有着重要的研究价值。但宽输入输出的双向升降压电源设计难度较大,也不易控制,研发较少。这里设计并制作用于电池储能装置的双向DC-DC变换器,实现电池的充放电功能。在充电模式下,保证恒流充电并使电流能够步进可调,能够测量并显示充电电流以及实现过充保护功能。在放电模式下,提高效率,并能够在自动的在充放电中切换。设计的输入电压在24至36V变化,充电电流在1至2A内变化,当电流在2A,输出电压超过24±0.5V时,通过继电器设备保护电路。该变换器能承受高电压,大电流,能在多种场合中起到重要作用!

本文设计系统主要由BUCK-BOOST升降压模块、电流电压检测模块、液晶屏显示模块、电源模块组成。LT8705是一款高性能的降压-升压模块。输入电压范围可在2.8至80V调节,输出电压也能在1.3至80V之间变化,可以实现同步整流功能,输出电流调节容易,效率最高可达到98%。采用基于ACS712的电流检测模块。ACS 712的全温度范围的精度为±1.5%,在25~85℃时,精度特性更好。输入与输出之间的响应时间为5us。带宽为80KHz,通过调整滤波脚与地之间的滤波电容,可根据需求来调整噪声与带宽的关系,电容取值大,带宽小,噪声小。控制采用STM32单片机,端口多,处理效率高,性价比高。

二、系统理论分析与计算

(一)BUCK-BOOST升降压的分析

1.主控制环路

LT8705是一款电流模式控制器,其可提供一个高于,等于或低于输入电压的输出电压LTC 专有的拓扑和控制架构在降压或升压模式中采用了同一个电流检测电阻 (RSENSE)。电感电流受控于 VC 引脚上的电压。在把输出调节至一个恒定电压的最简单形式中,FBOUT引脚接收输出电压反馈信号,並由 EA4 将其与內部基准电压加以比较。低输出电压将产生一个较高的VC电压,因而将有更多的电流流入输出端。相反,较高的输出电压将导致VC下降,从而减小流入输出端的电流。

2.电压调节环路

LT8705提供了两个恒定电压调节环路,一个用于输出电压,一个用于输入电压。接在VOUT,FBOUT和GND之间的分压电阻负责检测输出电压。当FBOUT上升至接近或等于EA4的基准电压时,降低Vc电压以操控电流的大小,从而使VOUT被调节至期望的电压。

3.电流监视和调节

LT8705提供了两个恒定电流调节环路,一个用于输出电流,一个用于输入电流。CSPIN和CSNIN检测输入电容附件的检测电阻上之电压来监视输入电流。使一个与检测电压成线性比例的电流流出IMON_IN引脚并进入一个外部电阻,产生的电压Vimon_IN与输入电流成线性比例。同样,CSPOUT和CSNOUT检测输出电容附近检测电阻上的电压来监视输出电流,并产生一个与输出电流成线性比例关系的电压Vimon_OUT。

DC/DC模块 篇4

2011年12月, 亿维 (UniMAT) 正式发布了UNCPU224 DC/DC/DC, 订货号为UN 214-1AD23-0XB0。这是一款由亿维研发部根据多年PLC模块的市场经验, 历时1年研发出来的200系列自主品牌PLC控制器模块, 在兼容西门子PLC功能的前提下, 做了部分优化及功能增强。

功能特点:兼容西门子S7200指令集;使用西门子STEP 7 MicroWIN软件进行开发, 将原西门子STEP 7 MicroWIN开发的程序直接下载到本PLC控制器模块即可工作, 对程序无需任何修改;增加了额外的PPI通信口, 省去了客户同时使用触摸屏和编程电缆时, 因通信口不够, 只能不断插拔端口的烦恼;更快的指令执行速度 (单条布尔运算指令是西门子的3倍) , 快速的计算能力有利于实现更快、更平滑、更稳定的PID调节;无需额外电池附件的超长时间掉电保持 (无需电池, 可提供长达10年以上的掉电数据保持) ;可直接替换西门子224控制器模块。

DC/DC模块 篇5

关键词直流变换器;不对称半桥;零电压开关

AbstractA modified asymmetric half-bridge converter is proposed which is suitable for medium power, high input voltage occasions and the control method problem is mainly studied in this paper. Compared with the traditional half-bridge circuit, the modified converter circuit increased by auxiliary switch tube and diode constitute the branch that provide renewal circulation way for transformer original edge during the two main switch were shut off. When the auxiliary tube is closed, the free-wheeling current charged and discharged for the main switch tube capacitance, and created a condition for the main switch to be opened in the zero voltage. After the parameters of the converter circuit was designed, the simulated experiment show the better performance than the traditional way.

Keywords DC/DC converter; asymmetrical half-bridge; ZVS

中圖分类号TM46文献标识码A文章编号1673-9671-(2011)081-0106-03

随着电力电子技术的成熟,开关电源以其体积小、效率高的优点在各种电子设备中的应用越来越广泛。通常,开关电源是对电能进行直流-交流-直流变换的电力电子装置,其核心部分是DC/DC变换器。开关电源技术是集电力电子变换、现代电子集成技术、自动控制等多学科的综合技术,其发展与现代控制理论、材料科学、电机工程、微电子技术等许多领域都密切相关。

本文研究了一种适合中等功率,较高输入电压场合的改进型不对称半桥变换器。详细分析了该变换器的工作原理和特性,最后对变换器功率电路的参数进行了设计,并以此为基础进行了仿真实验,得到了预期效果。

1改进型不对称半桥变换器工作原理

1.1主电路拓扑结构

如图1所示为改进型不对称半桥变换器的结构电路图,图中T为高频变压器,Lr为谐振电感(包含变压器漏感),S1、S2、S3为功率管,D1、D2为副边整流二极管,D3为辅助支路的二极管,C1、C2、C3分别为开关管内部寄生电容,Lf为输出滤波电感,Cf表示输出滤波电容。

图1主电路结构

为了更清除地对电路工作过程进行分析研究,在分析变换器工作原理之前,做如下假设:①变换器工作已经达到稳态。②所有的开关管、二极管都是理想器件(副边的整流二极管除外),与S1、S2相并联的电容的容值相等,且大小都为Cm,与S3并联的电容的大小为Cs。③隔直电容足够大,在一个周期内其两端的电压基本不变。④副边滤波电感

Lf >>Lr/N2,其中N为变压器原副边匝数比。

1.2工作模态分析

图2为变换器的主要工作波形,在一个开关周期中,变换器的工作过程可以分为10个阶段。

图2变换器的工作波形

模态1[t0-t1] :在t0时刻以前,假设S3已经关断,经过死区时间后,t0时刻S1开通,这里先认为S1开通时C1上的电压已经为零,即S1为ZVS开通,其原边将在后面予以解释。S1开通后,变压器原边(谐振电感)电流ip减小到零以后开始增大。因为t0时刻之前处于S3为原边漏感续流、副边整流二极管D1和D2同时导通状态,当原边电流ip折算到副边的电流小于i1期间,此时原边能量还不能向副边传递,副边出现占空比丢失现象。因为变压器仍处于副边短路状态,因此变压器从原边看只有漏感Lσ,此时电路有:

(1)

因为Lr较小,所以原边电流上升速度很快。

模态2[t1-t2]:当原边电流ip上升到nip>i1后,副边整流二极管D1导通,而D2关闭,电源通过变压器向副边传递能量。

(2)

上式中Lm为变压器的励磁电感,并且Lm>>Lr。因此与模态1相比,变压器原边此时的电流上升率平缓很多,电流波形在t1时刻形成一个转折点。此模态中,原边功率向副边传送,在此期间,uc2=-Uin, iLr=is1,设t=t1时,流过S1管的电流is1=Ip,那么,该模态结束时流过谐振电感Lr的电流:iLr(t1)=Ip。

模态3[t2-t3]:t2时刻开关管S1关断,原边电流继续按原方向续流,电流ip给电容C1充电,同时给电容C2放电,uC1(即uds2)不断下降直到零,为S2的开通做好准备,uc1(即uds1)则不断上升直到Uin,因此S1是软关断的。

由于此模态时间很短,而且由于副边电流的续流作用,原边电流ip基本不变,设其值为Ip。则有:

(3)

(4)

当该模态结束时,UC2=0,则该模态持续的时间:

AB两点的电压为:

因此uAB电压也是线性上升,此模态结束时: uAB=Uin。

模态4[t3-t4]:当电容C2电压按线性降为零以后,S2体二极管导通续流,这样能够维持uC2为零的状态不变。因此下管S2的软开关效果无论是重负载还是轻负载时都非常好,控制易实现。此时副边为了保持输出电流I0不变,整流二极管D1和D2一起导通,变压器副边等效短路,变压器原边电压全部加在漏感上,原边电流ip因电路损耗会略有减小。

模态5[t4-t5]:在t4时刻S2实现ZVS开通,S2开通后,C1两端电压大小为Uin且保持不变。隔直电容与变压器原边形成回路,变压器原边电流iP减小到零以后开始反向增大,但在原边电流iP折算到副边的电流小雨i2期间(即占空比丢失期间),副边仍然是整流二极管D1、D2同时导通,原边不向副边传输能量。由式(1)类似得出:

此阶段原边电流iP同样上升很快。

模态6[t5-t7]:原边电流iP继续增大,当niP>i2后,整流二极管D1关断,副边只有D2原边向副边传输能量。在S2开通后不久,就可以开通辅助管S3。虽然S3开通,但是由于D3两端施加的为反向电压,因此D3不导通,该支路没有电流流过,S3在t6时刻ZCS开通。由式(2)可以类似得出:

由于Lm的作用,变压器原边此时的电流上升率平缓的多,电流波形在t5时刻形成一个转折点。

模态7[t7-t8]:在t7时刻S2关断,原边电流给C1放电,同时给C2充电。

当uC2=-Ucb时,该模型结束,因此持续的时间为:

该模态结束时uAB=0。

模态8[t8-t9]:若uC2>uCb時,uAB>0,D3两端受正向电压,D3导通,变压器原边经过D3和S3续流,这样谐振电感(包括变压器漏感)能量能够维持在电路中,以备S1实现软开通。副边D1和D2同时导通。在此期间uC2=-Ucb,uC1=Uin-Ucb。轻载情况下,S3导通时间比较长,S1、S2的漏感电压波形中因此会出现一个比较宽的台阶。

模态9[t9-t10]:在t9时刻S3关断,原边电流给C2、C3充电,给C1放电。由于副边处于两个二极管都导通的续流状态,此时给电容充放电完全依靠谐振电感(包括变压器漏感)所存储的能量。

设t9时刻原边电流为I'p,则在这段时间里,原边电流ip和电容C1、C2的电压为:

ip=I'cosω(t-t9) (11)

uC1=Uin-Ucb-ZpI'psinω(t-t9) (12)

uC2=Ucb+ZpI'psinω(t-t9)

其中:谐振特征阻抗,谐振角频率

令uC1=0,则由式可得出此模态持续的时间为:

模态10[t10-t11]:当电容C1电压降为零时,S1的体二极管将导通,此后若开通S1,S1将是ZVS开通。至此,一个完整的工作周期结束,然后工作模态将回到模态1。

2变换器的特性

2.1输入输出基本关系

如图1所示,电压的直流分量都加在隔直电容Cb上,若忽略占空比丢失情况,根据变压器的伏秒平衡可以得到:

(14)

因此变换器达到稳态后:Ucb=Uin/2。

假设副边输出电流I0为恒值,由输入输出功率平衡可以得到:

所以:U0=DUin/n。

从上式可以看出,该种对阵PWM控制ZVS半桥变换器输出电压和占空比D成线性关系。

2.2开关管实现ZVS的条件

从开关模态9的分析可知,上管S1要实现ZVS,必须有足够的能量来抽走即将开通的开关管S1的结电容C1上的电荷,并给刚关断的辅助管S3的结电容C3、下管S2的结电容C2充电。

当电路参数已定时,变压器原边电流值的大小将对电容充放电起到关键作用。因此负载的轻重对上管S1实现软开关的效果有很大影响。轻载时由于电流较小,谐振电感储能若不能完全对电容冲放电,则S1不能实现ZVS。

从开关模态3的分析可以看出,下管要实现ZVS,必须要有足够的能量来抽走即将开通的开关管S2的结电容C2上的电荷,并给刚关断的S1的结电容C1充电。

而此时电路的输出滤波电感Lf是谐振电感Lr成串联的等效关系,因此用来实现ZVS的能量是Lr和Lf中的能量,所以有:

一般来说n2Lf的值很大,这个能量很容易满足上式,因此下管能够在很宽的负载范围内实现ZVS。

辅助管S3在模态6中的t6时刻开通,由于此前S2已经开通,隔直电容使A点的电压高于B点,因此D3承受反压,该辅助支路没有电流通过。因此辅助管S3在任何情况下都是ZCS开通,与功率电路工作情况没有任何关系。

3仿真研究及结论

基于Saber软件仿真分析了该变换器的工作状况,其中输入直流电压Uin=270V;输出直流电压U0=48V额定输出电流I0=20.86A;开关频率fs=100kHZ;变压器副原边匝比N=1.6;谐振电感Lr=4.3*10-6H;滤波Lf=30*10-6H;隔直电容Cb=3*10-3F;输出滤波电容Cf=2.2F;仿真死区时间设置为0.3*10-6s,仿真的结果如图3、图4、图5所示。

图3为变换器负载在300W时的上下管驱动及漏源电压的仿真波形,由图中可以看出:当负载为300W时,上管不能实现软开关,而下管可以很好的实现软开关。

图4为变换器在负载为1000W时的上下管驱动及漏源电压的仿真波形,由图中可以看出:当负载为1000W时,主开关管S1、S2都能够很好的实现软开关,而且开关管所受的最大电压应力大小等于输入电压Uin。仿真结果与理论相一致。

图5给出了辅助管的驱动波形Ugs(上),流过辅助管的电流(中)以及变压器原边电流(下)。由图可以看出:在开关管S2关断前,没有电流从辅助管S3流过,即增加的辅助管在任何情况下都可以实现ZCS。仿真结果与理论分析相一致。

参考文献

[1]张友军,阮新波.2种ZVS方式AHB直流变换器比较.电力自动化设备,2009,29(10):69-78.

[2]杨仲望,金天均,吕征宇.不对称半桥电压控制模式与电流控制模式研究.电力电子技术,2007,41(9):44-46.

[3]黄华芳.一种新颖的不对称半桥式准谐振变换器.电力电子技术,2007,41(11):18-19.

[4]白杰,杨铭.基于高频谐振变换器的新型微波炉电源设计.通信电源技术,2009,26(1):13-16.

[5]苏斌,吕征宇.软开关型不对称半桥研究.电力电子技术,2006,40(1):65-67.

[6]谢文涛,李晗.基于半桥LLC谐振变换器的多路输出辅助电源设计.电源技术应用,2007,10(2):10-13.

[7]朱立泓,方宇,张艳军,等.LLC谐振变换器参数设计.电源技术应用,2007,10(2):10-13.

[8]尹鹏,常春贺,鞠志忠.LLC谐振变换器参数优化方法的研究.空军雷达学院学报,2009,23(1):53-55.

[9] LU Bing,LIU Wenduo,LIANG Yah.Optimal Design Methodology for LLC Resonant Converter,IEEE APEC.USA,2006:533-538.

[10]白杰,倪喜军,杨铭,等.ZVS高频变换器在新型微波炉电源中的应用.电力电子技术,2009,43(6):70-72.

[11]张友军,阮新波,陈澄,等.励磁电流实现不对称半桥直流变换器ZVS的研究.电力电子技术,2009,43(9):9-11.

作者简介

申栋栋(1984—),男,河南平顶山人,硕士,主要从事开关电源方面研究。

吴根忠,男,副教授,硕士生导师。

DC/DC模块 篇6

北京凌力尔特公司 (Linear Technology Corporation) 推出高效率、三路输出同步降压型开关稳压控制器LTC3853,该器件具有为预偏置负载安全供电的一致或比例跟踪。其4.5V~24V (最大值为28V) 的输入范围促成包括大多数中间总线电压在内的众多应用。强大的片上栅极驱动器为所有N沟道MOSFET级供电,而且在输出电压为0.8V~13.5V时,每相可产生高达20A的输出电流。应用包括数据通信、电信、机顶盒、基站和多功能打印机中的负载点调节。在这些应用中,多个电压轨必须在小解决方案尺寸和低热耗散的情况下提供大功率。

恒定频率电流模式架构允许250kHz~750kHz的可选固定或可锁相 (PLL) 频率。通过使三路异相120°运作可最大限度地降低功率损失和电源噪声。LTC3853还可以用在2+1配置中,输出级1和2的相位相差180°。OPTI-LOOP誖补偿允许宽输出电容和ESR值范围,包括所有陶瓷输入和输出电容器。输出电流检测通过测量输出电感器 (DCR) 上的压降或通过使用一个可选的检测电阻来实现。电流折返在短路和过载情况下限制MOSFET的热耗散。此外,LTC3853具有可调软启动以控制接通时间。可选突发模式 (Burst Mode誖) 工作,脉冲跳跃或连续电感器电流模式是受用户控制的,以优化轻负载效率与输出纹波的关系。LTC3853具有一个精确的0.8V基准,在-40°C~+125°C的工作温度范围内准确度为±1%。LTC3853具有高达98%的占空比和一个非常低的压降,对于延长电池供电型应用的工作时间而言,这是一个有用的特点。

LTC3853具有如下性能:三路异相120°运作的控制器降低了噪声和输入电容;跟踪和锁相环同步;为预偏置负载安全供电;250kHz~750kHz的固定或可同步工作频率;DCR或RSENSE电流检测选项;峰值电流模式控制;强大的片上N沟道MOSFET栅极驱动器;片上5V线性稳压器;可选择突发模式、脉冲跳跃或强制连续工作模式;在-40°C~+125°C的温度范围内具有±1%基准电压准确度;可编程软启动;电源信号良好。

DC/DC模块 篇7

通过在逆变电路中使用全控器,开关电源的核心部分以高频率的开通与关断进行功率变换。随着生产技术的发展,直流电源的应用日益增多,如通信、计算机、电焊机以及军工等领域,要求使用各种电压与容量等级、输出特性,满足各种不同要求的高效直流电源。由于损耗大、效率低,变压器与滤波器件体积大、功率因数低以及存在机械噪声等缺点,采用串接调整管调压稳压和晶闸管相控整流组成的直流稳压电源,已无法适应需要。随着全控器件(目前主要是功率MOS与IGBT)的广泛应用,以及脉宽调制技术的成熟,高频开关稳压电源获得了较快的发展[1,2,3]。

本研究主要介绍以PWM控制器片SG3252A控制IR2110驱动全桥式功率MOSFET而构成的高频逆变主电路系统。

1 开关电源系统总体设计方案

开关电源稳压系统总体设计方框图[4],如图1所示。

(1) 输入电路。

逆变主电路输入为直流电,如直流电网或蓄电池供电,若是交流电网首先必须有整流电路。

(2) 输出电路。

一般包括滤波电路,对隔离式逆变器,在输出电路的前面还要有逆变变压器。

(3) 驱动与控制电路。

其功能是通过按要求产生和调节一系列控制脉冲来控制逆变开关的导通和关断。

(4) 保护电路。

主要有输入过电压保护、欠电压保护;输出过电压保护、欠电压保护;过载保护;过电流和短路保护。

(5) 供电电路。

包括控制和驱动供电电路两部分。

2 系统设计

该系统设计分为主电路和控制电路部分,其中主电路即高频逆变主电路[5,6],控制电路包括驱动电路、调节电路、保护电路、隔离电路和供电电路等。

2.1 系统主电路设计

如图2所示,高频逆变主电路由全桥驱动组成,逆变主电路把直流电压转换为20 kHz的高频矩形波交流电压后送到高频高压变压器下,经升压、整流、滤波后给负载供电。电路经过控制PWM1和PWM2的占空比来得到脉宽可调的矩形波交流电压。图2中,C1为自举电容,C2为电源端VCC的滤波电容,反馈二极管VD1、VD4桥臂为一对,VD2、VD3桥臂为一对,成对的两个桥臂同时导通,两对交替各导通180°。为了尽可能减小整流器直流输出电压中的纹波,通常在整流器直流侧并联容量较大的滤波电容。本研究采用了目前最为广泛使用的三相桥式全控整流电路,将交流电压变换为单相脉动电压。

2.2 系统控制电路设计

2.2.1 驱动电路

它将控制电路发出的信号转化为电力电子器件控制端和公共端之间的,可以使其开通或关断的信号,并能提供一定的驱动功率,具有较高的抗干扰能力,同时,还具有电气隔离和电力电子器件的保护等功能。

笔者采用IR2110高压悬浮MOSFET集成驱动电路,连接电路采用了一个快速恢复的二极管以减小功耗,在VCC和COM、VDDVSS间连接2个0.1 μF的陶瓷电容,每个MOSFET分别连接到IR2110的2脚和5脚,作为栅极驱动信号的反馈。

2.2.2 调节电路

调节器的作用是将给定量和反馈量进行比较和运算,以得到控制量,它的核心是运算放大器,多数PWM控制器内都含有运算放大器,可以构成PI和PID调节器。本研究采用PI调节电路,使系统获得了较好的稳定性、稳态精度和抗干扰能力。与PID调节电路相比,在满足电源性能指标的前提下最好选用PI调节方式。

由PI调节器构成的闭环控制电路,如图3所示。

2.2.3 保护电路

保护电路具有自身保护和负载保护两方面的功能,一旦出现故障立即使开关电路停止工作,并以声光形式报警。笔者采用的是过电流保护,它的输入端接在逆变主电路上,作为采样点信号。

2.2.4 电压脉宽型PWM控制电路

PWM控制电路的作用是将一定范围内连续变化的控制量模拟信号转化为PWM信号,该信号的开关频率固定,占空比随输入信号连续变化。

本研究采用的SG3525A是电压模式控制的集成PWM控制器,用SG3525A发出的PWM脉冲来控制逆变器Q1、Q4和Q2、Q3的轮流导通,从而控制逆变电压和和逆变频率。改变6脚连接的电阻R值就可调控PWM脉冲频率,同时通过调节9脚电压来改变输出脉宽。保护电路输出端IA产生的电压作为反馈信号接于SG3525A的10脚上,当10脚电压大于0.7 V时,芯片进行限流操作;当10脚电压超过1.4 V时,将使PWM锁存器关断,直至下一个时钟脉冲周期才能恢复。

2.2.5 隔离电路

电气隔离实现了主电路及控制电路间电量的隔离,可以减少主电路开关噪声对控制电路的影响,并提高控制电路的安全性。高速光电耦合器6N136在通讯接口、隔离放大器、线性电路、电源控制、开关电源传感变换等方面都可应用。

控制与隔离部分示意图,如图4所示。

2.2.6 供电电路

控制电路的供电由“NE555+高频变压器+整流滤波+7812”的方案实现。控制部分供电电路,如图5所示。

NE555时基电路属于单稳型稳压管,其主要用于:脉宽调制、压频变换、A/D变换等。其中,7812为三端稳压管,正电压输出,最大输出电流为1.5 A,输入端接电容可以进一步滤除纹波,输出端接电容能改善负载的瞬态效应使电路稳定工作。

驱动电路由LM 2576HV-12供电,其驱动部分供电电路图,如图6所示。

3 谐波污染及解决措施

谐波污染产生根本上是由于非线性所致,当电流流经负载时,与所加的电压不成线性关系,就产生非正弦电流,从而产生谐波。

变频器中要进行大功率二极管整流、大功率晶体管逆变。逆变器输入端的整流回路中,输入电流的波形为不规则的矩形波,按傅立叶级数分解为基波和各次谐波,其中的高次谐波将干扰输入供电系统。在逆变器输出回路中,输出电信号是受PWM载波信号调制的脉冲波形,含基波和各次谐波。逆变器产生的高次谐波按各自的阻抗分流到电源系统和并联负载,对并联的电气设备产生传导干扰,同时,输出端的高次谐波还会产生辐射作用,对邻近的电子设备产生干扰。在本研究中,笔者采用光隔离以及滤波的方法消除了逆变器高次谐波对电气设备的传导干扰。

本研究采用仿真软件对高频逆变后的输出进行了傅立叶分析,分析数据和波形,如图7所示。从图7中可以看出,随谐波次数和逆变器输出电压谐波频率的升高,其谐波含量不断减少,可见本研究有效地抑制了逆变后产生的谐波。

逆变后的的输出波形,如图8所示。

4 结束语

本研究设计的DC/DC开关电源稳压系统采用全桥式功率MOSFET组成高频逆变主电路,用脉宽调制芯片SG3525A产生的PWM信号来控制IR2110驱动高频逆变主电路,它具有体积小、控制方便、电能利用率高等优点。

研究结果显示,以高速光电耦合器6N136为主的隔离电路实现了主电路及控制电路之间的电气隔离,减少了主电路开关噪声对控制电路的影响;将之与辅助供电电路和过流保护电路相配合,可使系统的功能更加完善,稳定性也可以得到保障。

参考文献

[1]莫正康.电力电子技术[M].北京:机械工业出版社,2004.

[2]杨旭,王兆安.开关电源技术[M].北京:机械工业出版社,2002.

[3]冷增祥,徐以荣.电力电子技术基础[M].南京:东南大学出版社,2005.

[4]毛鸿,吴兆麟,沈琦.高精度开关稳压电源的系统分析与设计[J].电力电子技术学报,1999,4(2):1-4.

[5]崔海现,陈思跃.高性能逆变等离子切割机电源的研制[J].电气传动,2006,36(5):33-36.

DC/DC模块 篇8

随着环境问题和能源危机的加剧,近年来新型清洁能源在世界范围内得到了快速的发展[1,2,3,4]。 但如燃料电池、光伏电池板等新型能源的输出电压均较低,且输出电压也不规范。 因此在其输出端口需要一个具备高升压能力的变换器,一方面将较低的电压升高到合适可用的电压等级,另一方面将不稳定的输出电压转化为稳定可靠的输出电压[5,6,7]。 在一些对安全性要求较高的场合,如电动汽车或家庭式光伏发电中,利用高频变压器实现输入输出电气隔离也是必不可少的一部分[8,9]。 总体而言,在该类应用场合中,高效、高可靠性以及高功率密度的隔离型高增益升压变换器已经成为一个研究热点[10,11,12,13,14,15,16,17,18,19,20,21]。

隔离型DC / DC变换器按照其变压器输入端电能输入形式可以分为电压输入型和电流输入型。 不考虑变压器变比时,电压输入型具有降压、输入电流断续等工作特点。 在高增益应用场合中,将进一步增加变压器原副边匝数比,此外由燃料电池和光伏电池的输出特性可知,采用该类型的变换器时,还需要较大体积的LC滤波器以保证电池输出电流的连续平滑,这无疑会给变换器的功率密度、成本以及效率带来不利的影响。 因此在该类型的高增益变换场合中,采用电流输入型更有优势。 常见的电流输入型拓扑可以归结为桥式、推挽式以及L式3种类型[10,11]相比较而言,L式具备开关导通损耗小、变压器利用率高以及输入电流纹波小等优点,更适合于低压大电流输入的应用场合[12]。

为了在避免较高变压器匝比的情况下提高隔离型变换器的输入输出增益,文献[12-16]通过采用多个变压器及相应的整流电路,将多个变压器的输入端各自并联输入多个电流源,而次级的整流电路输出端串联在一起工作,得到的最终输出电压为各路整流输出电压之和,这显然可以获得较高的输入输出增益比。 该方法的优点在于不仅可以有效提高输入输出增益,而且可以有效降低器件的电压、电流应力,以及开关损耗和电路的导通损耗;缺点在于使用过多器件会降低电路的整体可靠性,且控制及驱动电路的设计也较为复杂。 文献[17-19]通过提高后级整流电路的增益来获得较高的输入输出比。 与前一种方法相比,该方法具有元器件少、电路结构简单等优点,近年来所受关注也较多。 文献[17-18]通过采用倍压整流电路获得了2倍的电压增益,但其所增加的增益倍数有限,且整流滤波电路中所用二极管的电压应力并未降低。 文献[19]在传统倍压整流电路上,提出了一种4倍压整流电路,其进一步增加了输入输出增益比,且有效降低了二极管的电压应力较适合于要求输入输出隔离的高增益应用场合。

前述方案虽然提出了多种具备高增益升压能力的整流电路,但其增益倍数均是固定不变的。 文献 [20]提出一种基于DCM(Diode-Capacitor Multiplier单元实现的非隔离型高增益DC / DC变换器,其输入输出增益可以通过设定DCM单元数来调节。 本文进一步将该增益单元与L式电流输入型拓扑相结合,提出了一种ZVS隔离型高增益DC / DC变换器文中以含有3个DCM单元的变换器为例,分别阐述其工作原理及性能特点,在此基础上讨论了变换器中关键参数的设计,并制作了一台输出功率为200 W的实验样机,对所述理论分析进行了实验验证。

1工作原理

本文所提ZVS隔离型高增益DC / DC变换器拓扑如图1所示。 图2为含有3个DCM单元的变换器拓扑。 为简化其分析过程,下面均假设:1电感电流iL1、iL2连续;2电容Co、C1、C2、C3足够大,其上电压保持不变;3所有器件都是理想器件,不考虑寄生参数等的影响;4箝位电容与漏感间的谐振周期远大于开关关断时间,且忽略箝位电容上的电压纹波;5有源开关VT1、VT2采用交错控制策略 ,而且开关 占空比D>0.5;6辅助开关VTc1、VTc2与各自支路的主开关互补导通,且主开关与相应的辅助开关在切换时留有足够的死区时间。

在一个开关周期Ts内,变换器有21个等效工作电路,各状态稳态工作时的主要波形如图3所示 (图中D = 0.7)。 其中DVT1、DVT2、DVTc1、DVTc2分别表示开关VT1、VT2、VTc1、VTc2的占空比波形。 下面具体介绍变换器稳态工作时的开关状态。

(1)状态1(t0~ t1)。 该状态中,主开关VT1、VT2均导通,电感电流iL1、iL2在输入电源uin的激励下线性上升;变压器次级二极管VD0、VD1、VD2、VD3均反向截止,辅助开关VTc1、VTc2均关断,箝位电容Cc1、Cc2上的电压均保持不变,输出滤波电容Co独自向负载供电, 输出电压uo下降。

(2)状态2(t1~ t2)。 在t1时刻主开关VT2的驱动信号关断,主开关VT1保持导通,电感电流iL1在输入电源uin的激励下继续线性上升;电感电流iL2向开关VT2的漏源极电容CVT2充电,由于电容CVT2的存在, 限制了开关VT2漏源极电压的上升速度,可以有效降低开关VT2的关断损耗;该过程持续到电容CVT2上的电压上升至uo/ (4N)结束 ,其中N为变压器变比 。

(3)状态3(t2~ t3)。 在t2时刻开关VT2漏源极电容CVT2上的电压上升至uo/ (4N), 二极管VD1、VD3导通,漏感电流iLk开始上升,但由于漏感Lk的存在,iLk上升速度受限,因此二极管VD1、VD3实现了近似零电流导通。 电感电流iL1继续为电容CVT2充电,该过程持续到电容CVT2上的电压上升至uCc2结束。 由于电容CVT2非常小,所以从漏感电流开始上升到电容CVT2端电压为uCc2的过程很短,因此在电路性能分析时可以忽略该过程的影响,认为漏感电流iLk上升的时刻与电容CVT2端电压被电容Cc2箝位的时刻一致。

(4)状态4(t3~ t4)。 在t3时刻电容CVT2端电压上升至uCc2,辅助开关VTc2的体二极管导通,由于箝位电容Cc2相对电容CVT2来说很大,因此大部分电感电流iL2将流入箝位电容Cc2中,开关管VT2漏源极电压被箝位在uCc2,且从此刻开始漏感Lk、箝位电容Cc2以及变压器次级电容将会形成一个谐振电路,由于变压器次级电容设计时足够大,其电压纹波可以忽略, 因此在分析其谐振过程时可以等效为一个恒定的电压源。 这个谐振周期与漏感Lk和箝位电容Cc2的值有关(忽略电容CVT2的影响),且谐振周期必须足够大,以保证电路的可靠工作[19]。 该谐振过程会持续到t4时刻(辅助开关VTc2驱动信号到来)结束。

(5)状态5(t4~ t5)。 在t4时刻辅助开关VTc2的驱动信号到来,因其体二极管已提前开通,故辅助开关VTc2实现零电压开通;该状态下漏感电流iLk近似线性上升,该过程持续到iLk上升至电感电流iL2时结束。

(6)状态6(t5~ t6)。 在t5时刻漏感电流iLk上升至电感电流iL2, 箝位电容电压uCc2停止上升并开始向漏感Lk进行放电,漏感电流iLk继续上升,该过程持续到辅助开关VTc2关断时结束。

(7)状态7(t6~ t7)。 在t6时刻辅助开关VTc2的驱动信号关闭,电容CVT2的存在限制了开关VTc2端电压的上升速率,可以有效降低开关VTc2的关断损耗, 之后箝位电容Cc2退出谐振电路,此时仅余开关VT漏源极电容CVT2独立向漏感Lk谐振放电,该状态持续到电容CVT2上电压下降至uo/ (4 N)结束 。

(8)状态8(t7~ t8)。 在t7时刻电容CVT2上的电压下降至uo/ (4 N),漏感Lk端电压反向,漏感电流iLk达到最大值并于此刻开始下降,电容CVT2通过漏感Lk继续放电,该过程持续到电容CVT2上的电压下降至0。

(9)状态9(t8~ t9)。 在t8时刻电容CVT2上电压下降至0,主开关VT2的体二极管导通,漏感Lk端电压为 -uo/ (4 N), 漏感电流iLk线性下降,电感电流iL1、 iL2在输入电源uin的激励下线性上升;该过程持续到主开关VT2的驱动信号开通时结束。

(10)状态10(t9~ t10)。 在t9时刻主开关VT2的驱动信号开通,由于其体二极管已经导通,主开关VT实现了零电压开通,漏感电流iLk继续线性下降,该过程持续到漏感电流iLk下降至电感电流iL2时结束。

(11)状态11(t10~ t11)。 在t10时刻漏感电流iLk下降至电感电流iL2,主开关VT2的电流在此时反向,该过程持续到漏感电流iLk下降至0时结束。 变压器次级二极管VD1、VD3的电流也随之下降至0。 值得注意的是,受漏感电流iLk下降速率的控制,二极管VD1、 VD3的电流下降速率也得到了有效控制,实现了近似零电流关断,可以有效降低二极管的反向恢复损耗。 在t10时刻之后,次级二极管VD0、VD1、VD2、VD3均反向截止,主开关VT1、VT2均导通,电感电流iL1、iL2在输入电源uin的激励下线性上升,与状态1一致。

主开关VT1、辅助开关VTc1的开关切换状态与主开关VT2、辅助开关VTc2的开关切换状态相似,在此不再赘述。

2性能分析

根据上述4倍于普通L型升压变换器的工作原理,下面对其进行性能分析,并将分析结果推广到含有m个DCM升压单元的L型升压变换器中,以便根据输入输出参数进行设计。 在以下分析过程中进行如下简化:开关均采用交错控制策略,且开关占空比D > 0.5,并假定所有电感电流均连续 ,忽略寄生参数的影响及电容上的纹波。 下面分别从输入输出增益、 电压和电流应力及各相输入电流间的关系方面进行性能分析。

2.1输入输出增益

忽略漏感以及主开关与有源箝位开关之间死区时间的影响,由电感L1、L2的伏秒平衡可得:

化简可得:

推广到含有m个DCM升压单元的L型升压变换器中可得输入输出增益M为:

图4所示为不同DCM单元数m及变压器变比N下,输入输出增益M关于占空比D的函数。 可见通过增加DCM单元数和变压器的匝比均可显著提高变换器的输入输出增益。

2.2开关器件的电压应力

忽略箝位电容上的电压纹波、电感电流纹波(电感电流记为IL)以及主开关与有源箝位开关之间死区时间的影响,由前述分析可知,开关器件的电压应力即为箝位电容上的电压峰值。 因此此时仅需计算箝位电容上的电压值即可。 由电源输入功率和变压器输入功率平衡可得(忽略中间损耗的影响):

由于忽略了箝位电容上的电压纹波以及主开关与有源箝位开关之间死区时间的影响,因此可以认为漏感电流iLk是线性上升的:

通过式(4)和式(5)可以解得:

将式(3)代入式(6)进行化简可得:

可以看出箝位电容电压由两部分构成:一部分是忽略漏感时理想状态下的开关管的电压应力;另一部分和漏感大小直接相关,随着漏感值的增加而增加。 因此在保证电路正常工作时,漏感值应越小越好。 值得注意的是,由于箝位电容上电压纹波的存在,实际开关管的电压应力要略高于式(6)计算的结果。 推广到含有m个DCM升压单元的L型升压变换器中可得箝位电容上电压为:

定义二极管的电压应力根据电路工作原理易知 :

推广到含有m个DCM升压单元的L型升压变换器中可得:

2.3开关管及二极管的电流应力

忽略漏感以及主开关与有源箝位开关之间死区时间的影响,忽略电感电流纹波,设它们的值分别为IL1和IL2。 同样忽略输入电流iin的纹波,设其值为Iin。 根据电容C3的安秒平衡可得:

即:

由式(12)可知,电感电流实现了自动均流,无需采用任何有源均流策略。

设开关管电流iVT1、iVT2的平均值分别为IVT1和IVT2, 二极管电 流iVD0、iVD1、iVD2、iVD3的平均值 分别为IVD0、IVD1、IVD2、IVD3。 根据变换器工作原理 ,流过开关管的电流平均值分别为:

由于正常工作时电容电流平均值为零(电容的安秒平衡),于是可得:

又由

可得:

通过类似推导,对于含有m个DCM升压单元的L型升压变换器,当m是奇数时,电感电流及流过开关管和二极管的电流平均值分别为:

当m是偶数时,电感电流及流过开关管和二极管的电流平均值分别为:

通过上述分析可知,次级二极管的电压应力和电流应力均相等,开关管的电压应力一致,电流应力在DCM单元m是奇数时一致,为偶数时近似一致。 这意味着这些器件的损耗基本一致,有利于器件的选择和散热器设计。

3关键参数设计

在进行仿真和实验之前,首先应该设计出满足变换器工作要求的电路参数,因此本节中对影响变换器工作性能的几个关键参数进行设计指导。

3.1变压器变比

前级采用L型结构,开关占空比需满足D>0.5, 在根据输入输出电压变化范围可以确定变压器变比N(N = n2∶ n1)的上限 ,另一方面变压器变比直接关系到原边反射电压的大小,较小的原边电压可以获得较小的开关电压应力。 因此可通过设定最小的占空比D来确定变压器变比,如式(26)所示。

其中,m为后级增益单元数。

3.2漏感值

通过第2节的分析可知,漏感值与箝位电容上的电压满足式(8)。 因此在不考虑其他因素的前提下, 总是希望漏感值越小越好。 但由第1节中的分析可以看出,漏感在辅助开关关断之后必须保证足够的能量去完成开关漏源极电容的放电,从而保证主开关的零电压导通。 因此可得式(27)。

3.3箝位电容

漏感值确定之后,通过设定箝位电容的值可以设定箝位电容与变压器漏感之间谐振工作的谐振周期;由变换器在开关管关断之后的谐振工作过程不超过该谐振周期的一半可以得到式(28)[19]。

值得注意的是,谐振电容取较大值可进一步降低箝位电容上的电压纹波,以降低开关器件上电压应力。 通过前述理论分析可知,过大的箝位电容不会影响电路的其他性能,故可以式(28)为下限,考虑变换器的功率密度后选择合适的箝位电容值。

4实验研究

为验证前述理论分析的正确性和有效性,搭建了一台实验样机,其参数如下:输入电压uin= 24 V;输出电压uo=400 V;最大输出功率Po=200 W;开关频率fs= 100 k Hz;有源开关VT1、VT2、VTc1、VTc2采用IPP110N20N3G; 二极管VD0、VD1、VD2、VD3采用STTH15L06;箝位电容Cc1= Cc2= 10 μF;有源开关端电容CVT1= CVT2= 6.6 n F增益单元电容C1= C2= 2.5 μF;增益单元电容C3=5 μF输出滤波电容Co= 20 μF;输入电感L1= L2= 200 μH实测漏感值Lk= 2.69 μH;变压器变比N = n2∶ n1= 12 ∶ 7。

实验结果如图5所示,通过图5(a)—(d)可以看出所有开关均实现了零电压导通,开关损耗得到了有效降低。 同时图5(e)与图5(a)、(b)比较可见,主开关漏源极端电压应力被有效控制在箝位电容Cc1Cc2的端电压附近。 最大电压尖峰不超过90 V,箝位电路有效限制了由变压器漏感引起的电压尖峰。



从图5(f)、(g)可见变压器次级二极管VD0、VD1、 VD2、VD3的电压应力均约为200 V,电流有效值相等, 所有二极管均实现了零电流关断,几乎没有反向恢复电流的存在。 从图5(h)可见电容C1、C2的端电压uC1、uC2约为200 V,电容C3的端电压uC3约为100 V, 与理论分析一致。

图5(i)为输入电压uin,输出电压uo及电感电流iL1、iL2的波形,理论分析中此时主开关占空比约为0.6;由图5(a)、(b)可知实际工作时主开关占空比约为0.65,与理论分析较为接近。

图6所示为实测的样机工作效率,其中最大工作效率约为95.2%,额定工作时效率约为93%。

表1是通过理论分析得到的额定工作时变换器的损耗分布,考虑到所有开关均实现了零电压导通且二极管实现了零电流关断,因此忽略了开关损耗和反向恢复损耗,同时为简化分析过程,不考虑开关管的驱动损耗。 显然,主要损耗集中在开关管和二极管的导通损耗、电感的损耗以及变压器的损耗上理论分析得到的变换器额定工作效率为95.37%,与实际测量得到的93% 相差较小。

5结论

本文将L式电流输入型拓扑与DCM单元相结合实现了一种具备软开关能力的高增益隔离型DC DC变换器 ,该变换器在实现高增益的同时 ,避免了DCM单元电压调节能力差的问题 ,同时通过箝位电路限制了变压器漏感导致的开关管电压应力尖峰并实现了开关管的零电压导通和二极管的零电流关断。 文中分析了变换器的工作原理并给出了关键参数的设计方法,理论分析和实验结果均表明所提变换器较适合于需要输入输出隔离的高增益变换场合。

摘要:将传统的L型电流输入隔离型DC/DC变换器与一种DCM(Diode-Capacitor Multiplier)电压增益单元相结合,提出了一种新型ZVS隔离型高增益DC/DC变换器。在继承传统L型电流输入隔离型DC/DC变换器输入电流纹波小、变压器匝数比低等优点的基础上,所提变换器可通过调节DCM增益单元数来调节变换器的输入输出增益比;通过有源箝位电路和漏感的结合,开关均实现了零电压开通,二极管均实现了零电流关断,二极管的反向恢复损耗得到了抑制;借助于所提DCM增益单元,二极管的电压应力以及变压器的绝缘等级得到了有效降低;所有二极管的电压、电流应力均相等,便于散热设计。对变换器的工作原理和性能特点进行了理论分析,并建立了一台输入24 V、输出400 V、功率为200 W的实验样机。实验测试样机最高效率可达95%,验证了理论分析的有效性和正确性。

DC/DC模块 篇9

参考文献[2-3] 在充电时使用单一恒转矩控制,使系统的多余能量不能得到充分利用;参考文献[4-8] 提出了低速恒转矩、高速恒功率相复合的控制方式,但都没有与飞轮电机工作模式相结合,特别是恒转矩控制段缺乏一定的独立控制的灵活性;参考文献[9] 提出的传统PID算法控制策略由于飞轮储能电机的非线性和变参数特性很难取得较好的效果;参考文献[10-11] 提出的模糊自适应SNPID控制策略控制能使飞轮系统充电过程稳定,鲁棒性强,但它只从飞轮储能系统充电方面进行考虑;参考文献[12] 提出的id=0 加PI闭环的复合控制策略,它不能保持在全功率输出下,飞轮储能系统直流母线电压的稳定。本文基于飞轮储能系统能量双向流动的特性,提出一种基于双向DC/DC的飞轮储能系统控制策略,大大简化了电路的结构,并且所提出的充电恒流、恒压、PI复合控制策略满足飞轮充电时的工作要求,且控制效果好;所提放电二自由度PI控制策略具有良好的目标值跟随和抗负载干扰的能力,能够保持母线电压的稳定。

1 飞轮储能系统组成及原理

飞轮储能作为机械储能的一种,由于其清洁、安装方便等优点在当今社会得到越来越广泛的关注,它以高速旋转的飞轮作为机械能的储存介质,利用电力电子设备实现电能与机械能之间的相互转换。飞轮储能系统主要由高速飞轮、电动/发电机、轴承支承系统、功率电子变换器、电子控制设备以及附加设备等组成,是一种积木式的集成系统[6]。飞轮储能系统一般用于交流微网中,在直流微网中的应用还较少。文中飞轮储能系统结构如图1 所示,它主要由直流母线、双向DC/DC、BLDCM驱动电路、电机驱动、飞轮转子构成,其中,BLDCM的驱动采用传统的全桥驱动方式,电机转子的位置信号通过霍尔元件的测量得到,以此来控制开关管的两两导通。

2 充电控制

飞轮储能系统的充电控制采用双向DC/DC恒流、恒压、转速闭环相结合的复合控制策略,其核心是分别控制驱动电机的转矩电流iq和激磁电流id,同时采用转速闭环调节使其具有良好的线性特性,其控制框图如图2 所示。

飞轮储能系统的充电过程包括加速阶段和转速保持阶段,一般需考虑指标:系统充电所需时间、电机电流最大值、充电功率。对飞轮储能系统充电过程的控制可以等效地看成对电机的调速控制,即电机启动时,通过双向DC/DC使其保持恒流充电,此时激磁电流id=0,转矩电流iq为一定值,使电机完成升速达到最低工作转速;然后采取恒压充电,此时激磁电流id< 0,转矩电流iq减小,进一步促使电机升速达到额定转速,此时其输出转矩减少;最后采取转速闭环调节,使其保持额定转速工作。传统复合控制策略与双向DC/DC复合控制策略比较,如图3、图4 所示,传统复合控制策略在电机达到其最小工作转速前一直保持最大恒转矩控制,容易造成电机发热,不利于系统的安全运行。相比之下,本文提出的双向DC/DC复合控制策略能更加灵活地根据电机特性控制电机的升速快慢,图5 为新型充电复合控制策略。

3 放电控制

图6 为二自由度结构器控制图,图7 为单自由度结构器控制图。所谓二自由度控制一般是找到两组独立的参数,然后采用适当的设计方法,设计出两个独立的控制器,从而来获得最佳的干扰抑制特性和目标跟踪值特性来达到期望目标,它相比于单自由度控制具有更好的稳定性。

上两图中Gp(s ) 是一个控制对象的传递函数,假设其是固定的。因此对于这个系统,其闭环传递函数为:

从上面三式可以推出:

由式(4)、式(5) 可以看出,如果给定了Gyd,那么Gyn也就是确定的。但是由于Gc1和Gyd没有关系,所以Gyr就不是固定的,因此在这三个闭环函数中有两个是独立的,这就是二自由度控制器的设计原理。根据这个原理,本文设计了一种基于双向DC/DC的二自由度PI控制飞轮储能放电策略,如图8 所示。过程如下:负载反馈电压和直流电机反馈电压与给定电压进行比较后,由PI控制器输出其误差信号,从而可以得出q轴电流的给定值iq*;iq*经过解耦、坐标变换等处理后,得到SVPWM调制的脉冲信号,对双向DC/DC进行PWM升压斩波控制。

4 仿真结果及分析

根据飞轮储能系统的结构组成,搭建了充电仿真框图,系统参数设计如下:额定电压360 V,电机极对数2,额定功率50 k W,额定转速1 600 r/min,最大储能量1.4 MJ,转动惯量101 kg·m2,直轴电感0.234 m H,交轴电感0.276 m H,直流电压400 V。仿真结果如图9、图10、图11 所示。

由图可以看出0.3 s以前飞轮储能系统处于恒流充电控制充电状态,其线电流保持不变且id=0,iq为一定值。0.3 ~ 0.5 s系统处于恒压充电状态,其线电流减小,id< 0,转速进一步升高。0.5 s以后系统处于转速闭环控制状态,进入转速保持阶段。仿真表明该控制策略与所述理论分析基本符合。

仿真模型部分参数设计如下。

驱动电机参数:定子电阻2.3Ω ;转子两对极;等效电感L=0.007 8 H ;转动惯量J=0.005 6 kg·m2。

电力变换器参数:电感L =1 m H ;滤波电容C1=1 200μF,C2=1 600μF ;负载电阻R=10 kΩ ;IGBT开关频率20 k Hz。仿真结果如图12、图13、图14所示。

分别采用传统PI控制和二自由度PI控制在同样工况下对飞轮储能系统进行控制。由图可以看出,初始时,母线电压都保持在400 V左右,在t=1 s时,突加10 k W负载,受扰动之后的电压都有一个回复的趋势,从波形可以看出传统的PI控制虽然最后也差不多能维持电压平衡,但变化太过突兀,且电压有点跌落。而相比于传统的PI控制,本文所设计的二自由度PI控制从波形上看具有更好的目标值跟随和抗负载干扰的能力。

5 实验验证

为了验证所提出的基于双向DC/DC的飞轮储能系统控制策略在实际系统中的可行性,搭建了10 k W双向DC/DC的常规样机,并接入了微网实验室的飞轮储能系统进行了充放电实验,图15 和图16为实验波形图。

由图15 和图16 实验波形可以看出其与仿真结果基本一致,证明本文所提出的基于双向DC/DC的飞轮储能系统控制策略是可行的。

6 结语

文中提出了一种基于双向DC/DC飞轮储能系统控制策略,充电时恒流、恒压、转速闭环的复合控制策略;放电时采用二自由度PI控制策略,解决了传统控制策略所面临的局限性,并且通过仿真和实验分析证明所提出的控制策略是可行的,能很好地对飞轮储能系统进行充放电控制。

摘要:基于飞轮储能系统和双向DC/DC都是能量双向流动的特点,提出一种基于双向DC/DC的飞轮储能系统控制策略,包括充电时的恒流、恒压、转速闭环复合控制策略和放电时的二自由度PI控制策略,给出飞轮储能系统组成结构及控制框图。仿真和实验结果表明,所提基于双向DC/DC的飞轮储能系统控制策略在充电方面解决了传统控制策略的局限性,控制灵活;在放电方面具有更好的抗负载干扰和目标值跟随能力。

关键词:飞轮储能系统,双向DC/DC,复合控制策略,二自由度PI控制策略

参考文献

[1]武志刚,程鲁文,李玮,等.智能微网及其可靠并网研究[J].电力科学与技术学报,2012,27(4):22-27.

[2]Inoue S,Akagi H.A bidirectional isolated dc-dc converter as a core circuit of the next generation medium-voltage power conversion system[J].IEEE Transactions on Power Electronics,2007,22(2):535-542.

[3]赵韩,杨志轶,王忠臣.新型高效飞轮储能技术及其研究现状[J].中国机械工程,2002,13(17):1521-1524.

[4]曾喆昭,刘峰.直驱型永磁同步风力发电机混沌运动的非线性比例控制[J].电力科学与技术学报,2014,29(2):30-36.

[5]Krismer F.Modeling and Optimization of Bidirectional Dual Active Bridge DC-DC Converter Topologies[C]//ETH Zurich,2010.

[6]Schweizer M,Lizama I,Friendli T,et al.Comparison of the chip area usage of 2-level and 3–level voltage source converter topologies[C]//36th Annual Conference of IEEE Industrial Electronics Society,2010.

[7]Liu Danwei,Li Hui.Design and implementation of a DSP based digital controller for a dual half bridge isolated bi-directional dcdc converter[C]//Twenty-First Annual IEEE Applied Power Electronics Conference and Exposition,2006.

[8]王黎,赵云丽,李卫东.飞轮储能的仿真系统研究[J].电网与清洁能源,2010,26(11):102-106.

[9]黄宇淇,方宾义,孙锦枫.飞轮储能系统应用于微网的仿真研究[J].电力系统保护与控制,2011,39(9):83-87.

[10]刘晓东,李飞,方炜,等.直流微网中双向直流变换器的控制[J].电源学报,2014(5):40-44.

[11]Loix T,Breucker De S,Vanassche P,et al.Layout and Performance of the Power Electronic Converter Platform for the VSYNC Project[C]//IEEE Bucharest Power Tech,2009.

DC/DC模块 篇10

自动导引 运输车 (AGV) 是装备有 电磁或光 学等自动 导引装置 , 能够沿规 定的导引 路径行使 , 具有安全 保护及各 种移载功 能的移动 机器人[1], 广泛运用 于各种物 流系统中 。 AGV的动力来 源主要采 用高品质 车载锂离 子电池组 。 锂离子电 池具有较 高的能量 密度和较 低的自放 电率 , 且对环境 无污染 , 已逐步成 为车载动 力电池的 理想能源 之一 。 当电能耗 尽 , 必须采用 人工干预 方式对AGV进行充电 , 使得AGV处于非连 续的任务 环[2]。 为真正实 现AGV的长期自 治以及大 范围活动 , 即需要在 电能不足 的情况下 自主进行 充电 。 如何让AGV在无人工 干预环境 下安全 、可靠 、快速 、高效地实 现自主充 电是一项 关键技术[3,4]。

本文针对AGV的自主充 电问题 , 在分析了 移相全桥DC/DC变换器暂 态过程的 基础上 , 提出一种 基于英飞 凌公司XMC4000系列DSP XMC4200的数字控 制实现方 法 。 在该控制 方法中 , 采用电压 、 电流双闭 环控制策 略 , 实现对输 出电压的 控制 , 满足AGV动力系统 对充电的要求 , 最后通过一台原理样 机验证了该方案的可行 性。

1变换器暂态过程分析

移相控制ZVS全桥变换 器的主电 路结构如 图1所示 , 主要波形 如图2所示 。 可以看出 , VT2、 VT4的驱动信 号比VT1、 VT3的驱动信 号滞后一 个角度 α, 正是由于 这种驱动 信号的后 移 , 使开关管 零电压开 通或关断[5,6]。

实际上 , 电容C1~ C4及D1~ D4是MOSFET的输出电 容及寄生二极管, 因此电路结构简洁。 为便于分析, 假定: ( 1 ) 所有开关 管 、 二极管 、 电感 、 电容均为 理想器件 ; ( 2 ) 变压器是理想变压器, 忽略激磁电流; (3) C1=C3=Clead, C2=C4=Clag, Lf> > Lr/ K2, K是变压器 的变比 , 在一个开 关周期内 , 负载电流 变化不大 , 可近似认 为恒定不 变 。

图3给出了在 不同的开 关模态下 的等效电 路 , 各开关模 态的工作 情况描述 如下 :

( 1 ) 模态0 , 在t < t0时 , VT1和VT4导通 , 原边电流 经VT1、 变压器 、 Lr、 VT4向副边传 递能量 。 A、B两点之间 的电压vAB= Vin, 原边电流 线性上升 。

( 2 ) 模态1 , [ t0, t1] , VT4仍导通 。 t=t0, VT1关断, 由于C1的存在 , VT1电压缓升 , VT1实现软关 断 , VT1关断后 , A 、 B两点之间 的电压vAB开始下降 , 但仍大于 零 , 故此时副 边仍工作 在整流状 态 。 可认为输 出滤波电 感和原边 漏感串联 , 因此电流 不能突变 , ip仍按原方 向流动 。 ip给C1充电 , 给C3放电 。 t1时刻vAB减小为零 。

( 3 ) 模态2 , [ t1, t2] , t = t1时 , C1充电 , C3放电结束 , vAB减小为零 , 此后ip经过VT4、 二极管D3和Lr续流 , ip逐渐减小 , 二次侧N21、 DR1导电 , 续流If。 在该模态 , 开通VT3, 则VT3是零电压 开通 。

( 4 ) 模态3 , [ t2, t3] , t = t2时 , VT4关断 , 原边电流ip给C2放电, C4充电, vc4从零逐渐上升, VT4软关断, 由于vAB=-vc4, 故二次侧N22感应电动 势使DR2导通 。

( 5 ) 模态4 , [ t3, t4] , t = t3时 , vc4= Vin, vc2放电为零 , ip使D2开始导通 。 电流流向 如图3 (e) 所示 。 原边电流 在-Vin作用下开 始下降 。 在t=t4时 , ip下降为零 。 在该模态 , 开通VT2, 则VT2是零电压 开通 。

( 6 ) 模态5 , [ t4, t5] , t = t4时 , ip= 0 。 由于此时VT2、 VT3已施加驱 动信号 , 故t>t4时 , 电源电压Vin经VT2、 VT3形成反向ip, 并线性增 加 。 变压器一 次侧绕组 两端电压 虽然反向 , 但不足以 提供负载 电流If, 因此DR1、 DR2同时导通 , 提供负载 电流If。

(7) 模态6 , [t5, t6] , t >t5时 , 原边电流 上升至负 载电流 , Vin经VT2、 VT3向负载持 续供电 。 二次侧绕 组N22、 DR2提供负载 电流If, DR1截止 。 t=t6时 , VT3关断 。 之后再依 次经历后 半个周期 , 直到t12结束一个 完整周期 。

2变换器的参数设计

该移相全 桥DC/DC变换器由H型全控桥 、 控制电路 两部分组 成 , 其中相应 的参数设 计方法如 下[6]:

2.1谐振电路的参数

谐振电感 :

式中 , tmax为轻载时 的最大过 渡时间 , Coss为MOS的输出电 容 , Ctr为高频变 压器的分 布电容 , Cr为谐振电 容 。

在移相软 开关变换 电路设计 时 , 应考虑到 谐振电感Lr与谐振电 容的匹配 问题 , 一般情况 下 , 在开关周 期内应保 证存储在 谐振电感 中的能量 大于过渡 过程中存 储在谐振 电容Cr中的能量 , 即有 :

2.2功率器件参数的计算

移相软开 关电源中 功率开关 器件的电 压 、 电流定额 的选择要 考虑到电 源电压Vin、 输出功率Po、 输出电压 等因数的 影响[7], 一般情况 下 , 功率器件 的耐压Ued及电流额 定Ied为 (式中 η 为变换器 的效率 , K为高频变 压器的变 比 ) :

3数学建模及控制策略

在变压器 的副边由 基尔霍夫 电压 、 电流定律 可得 , 移相全桥 开关电源 的数学模 型为 :

将上式进 行拉氏变 换可得 :

式中 , r为电路综 合阻尼效 应的等效 电阻 , Co为输出滤 波电容 , Lf为输出滤 波电感 。

变换器原 边主电路 可以等效 为一阶惯 性环节 。 根据上述 分析 , 可得到控 制系统各 功能单元 的动态数 学模型 。 移相全桥 充电电源 控制系统 结构框图 如图4所示 。

控制系统 基于英飞 凌公司的XMC4200完成对AGV动力锂电 池组自主 充电的全 部控制功 能 。 系统控制 策略如图5所示 。

当锂电池 组的剩余 电能小于 设定值时 , 向AGV控制系统 发出充电 请求 , AGV自主寻找 充电位置 , 充电系统 接收到AGV位置确认 信号后启 动对锂电 池组的充 电过程 。 充电控制 系统具有 恒压/恒流充电 功能 , 采用电压 、 电流双闭 环控制算 法 , 根据当前 锂电池组 的荷电状 态 , 自主判断 采用恒压 或恒流充 电 , 根据采集 的锂电池 组实时电 流 、 电压和温 度等数据 , 在XMC4200中完成锂 电池组的 实时充电 状态分析 。

4实验结果

在理论分 析的基础 上 , 设计制作 了一台AGV自主充电系统原理样机。 AGV自主充电系统的基本参数为:输入电压220 VAC (+20%) /50 Hz (+5 Hz) , 额定输出 电压48 V, 额定输出 电流20 A, 开关频率 为10 k Hz。

图6给出了开 关管驱动 信号以及 漏 - 源极电压 的波形 , 从图中可 以看出 , 实现了零 电压开通 或关断 。 图7、 图8为负载变 化时 , 系统的输 出电压波 形 。 从图7可看出 , 从满载到 空载时 , 输出电压 首先出现 瞬时小幅 度上升 , 经过PI调节器作 用 , 电压很快 稳定在48 V左右 ; 同样 , 从图8可看出 , 从空载到 满载时 , 输出电压 首先出现瞬时小幅 跌落 , 之后电压 经过震荡 很快稳定 在48 V左右 。 由此可得 , 在不同的 负载扰动 下 , 输出电压 都能够波 动较小 , 稳定在48 V左右 (1±5%) , 系统工作 性能良好 , 满足设计 要求 。

5结论

本文针对AGV的自主充 电问题 , 将移相全 桥DC/ DC变换器应 用于锂电 池组充电 系统 , 在对主电 路暂态过 程进行详 细分析的 基础上给 出了相关 参数的计 算方法 , 建立了充 电控制系 统的数学 模型 。 基于英飞 凌公司XMC系列DSP XMC4200设计了全 数字化控 制系统 , 完成了AGV自主充电 所需的所 有控制功 能 。 理论分析 和实验结 果均验证 了本系统 所研究的 主电路拓 扑 、相应的控 制策略的 正确性及 可行性 。 实验结果 证明该变 换器能很 好地实现 软开关 , 提高了整 机工作效 率 , 该变换器 的输出电 压 、电流能够 满足电池 组的充电 要求 。 AGV系统能够根据 自身携带 锂离子电 池组的剩 余电量以 及充电点 之间的距 离 , 自主完成 充电 , 满足了AGV动力系统 对自主充 电的要求 。

摘要:针对AGV车用电池组自主充电问题, 研究了一种基于英飞凌XMC4200的数字控制DC/DC变换器系统, 在对移相全桥DC/DC变换器主电路拓扑分析基础上, 结合该控制芯片的特点, 设计了相应的控制系统。该系统具有体积小、电源效率高的特点。实验结果验证了理论分析的正确性及数字控制的可行性, 满足了AGV对车用动力锂离子电池组频繁低压大电流的充电要求。

关键词:移相全桥,零电压开关,数字控制

参考文献

[1]朱玉玉, 刘福兵, 李朋飞.AGV车用锂离子电池组均衡系统设计[J].电子技术应用, 2014, 40 (9) :55-57.

[2]SILVERMAN M, NIES D, JUNG B.Staying alive:a docking station for autonomous robot recharging[C].IEEE International Conference on Robotics and Automation.Washington D C, 2002:1050-1055.

[3]刘志雄, 李浙昆.室内移动机器人自动充电技术[J].机械与电子, 2007 (3) :51-31.

[4]崔志恒, 韩红玲.红外导航自主充电室内移动机器人设计[J].实验室研究与探索, 2012, 31 (10) :33-36.

[5]陈坚, 康勇.电力电子学—电力电子变换和控制技术[M].北京:高等教育出版社, 2013.

[6]REDL R, SOKAL N O, BALOGH L.A novel soft-switching full-bridge DC/DC converter:analysis, design considerations and experimental results at 1.5 k W, 100 k Hz[J].IEEE Trans.on Power Electronics, 1991, 6 (3) :408-418.

本文来自 360文秘网(www.360wenmi.com),转载请保留网址和出处

【DC/DC模块】相关文章:

学生模块05-02

视频模块05-22

模块开展05-26

提升模块05-29

建设模块06-04

数学模块06-07

高效模块06-10

新闻模块06-13

专业模块06-13

模块式06-16

上一篇:建筑节能设计与改造下一篇:科技前沿